AMAT Applied Materials 0190-81043_AMAT Applied Materials 0190-81043价格_AMAT Applied Materials 0190-81043厂家_工控 (2024)

AMAT Applied Materials 0190-81043_AMAT Applied Materials 0190-81043价格_AMAT Applied Materials 0190-81043厂家_工控 (1)AMAT Applied Materials 0190-81043_AMAT Applied Materials 0190-81043价格_AMAT Applied Materials 0190-81043厂家_工控 (2)LOT OF 22 RELIANCE 45C40 * *
Lot of 2 Reliance Electric Circuit Breaker Kits CBRU-1/12 3CB2020
LOT OF 2 RELIANCE ELECTRIC 78098-50E * OUT OF BOX*
LOT OF 2 RELIANCE ELECTRIC 45C166 * IN BOX*
LOT OF 2 RELIANCE ELECTRIC 2-74732-R * IN A BOX*
LOT OF 2 RELIANCE ELECTIRC 610149-R FUSE 170L4029
Lot of 2 RELIANCE 68000 57407-4C Distributed Control System PROCESSOR MODULE
LOT OF 16 RELIANCE ELECTRIC RE44FA CARBON MOTO
LOT of 13x RELIANCE 0-52013-1 SPEED REGULATOR VOLTAGE SUMMING PCB CIRCUIT BOARD
Lot of 11 ECSR600 600A 600V Fuses, 1-Bullet , 4-Reliance and 6-MSHA
LORAIN PRODUCTS RELIANCE 431849900 FUSE PANEL WITH 4863-148 CARD (P7)
LFE Corporation 2J-34F Vacuum Pump 1/2" NPT, Reliance E71U9714M-WE AC Motor
LCL1000 Reliance 1000 Amp Fuse
KYOSAN RELIANCE ELECTRIC AC DRIVE CONTROL BOARD MD-68168 / LHPI-2
KX-262 RELIANCE ELECTRIC MASTER XL SPEED REDUCER. SIZE-210WM28A. MAX bbbbb HP-5
KX-251 RELIANCE ELECTRIC SXT DUTY MASTER AC MOTOR P56X3833V-TY
KX-231 RELIANCE ELECTRIC SPEED REDUCER 10515254-QN
KX-230 RELIANCE ELECTRIC M619739A003SQ SPEED REDUCER
Kato Engineering / Reliance Power Failure Sensor 511-01760-02
JX-184 RELIANCE DUTY MASTER A-C MOTOR P21G371F
JX-124 RELIANCE ELECTRIC XE MOTOR 01VBZ53054G1VW HP-5 PH-3 RPM-1775
Joslyn Clark Reliance 30A 600VDC Contactor 78090-1R 23082.321 NNB
Joslyn Clark Reliance 30A 600VDC Contactor 78090-1R 23082.321 NNB
Jones & Lamson Reliance, 20/30 HP 94800, Spindle Drive Operations Repair Manual
IR transistors 701819-31AC (lot of 3pcs) from a Reliance VC90 spindle drive
bbbbb Module Reliance 61C500
bbbbb Cable Reliance 57C375
INGERSOLL-DRESSER 1.25 X .75 X 5 PUMP W/RELIANCE 1 HP MOTOR, 230/460 VOLT ( )
INGERSOLL RAND H0C2 PUMP, WITH RELIANCE 10 HP DUTY MASTER AC MOTOR,
Hytrol Gear Box Speed Reducer 1-1/4" Shaft H5w/Reliance Motor 3/4HP 1725Rpm 50:1
HP HEWLETT PACKARD 05890-67020 MOTOR FOR 5890 OVEN, RELIANCE
HORNER ELECTRIC PLC RELAY MODULE 45C-RLY HE45C-RLY (RELIANCE SHARK)
Hewlett Packard Reliance Electric 120V Oven Fan Motor, 1680 RPM, 05890-67020
GUARANTEED! RELIANCE ELECTRIC RECTIFIER STACK 086466-47R 86466-47R 0864660-47R
GUARANTEED! GOOD RELIANCE HEATSINK THYRISTOR 78177-1Y
GUARANTEED! GOOD RELIANCE MAXPAK III VS DRIVE TERMINAL BOARD. 0-58707-1
GUARANTEED RELIANCE ELECTRIC DRIVER BOARD CARD 0-55325-46
GUARANTEED GOOD RELIANCE ELECTRIC SNUBBER BOARD 0-55325-76
GROVE GEAR FLEXALINE RATIO 15:1 GEAR REDUCER BMQ218-2 W/ RELIANCE 1/2HP MOTOR
GOOD RELIANCE DRIVE GP-2GU21001 1HP @ 0.8PF GP-2000 (250)
FACTORY SEALED RELIANCE IRCC TYPE S3 RELAY BOARD 0-51839-2 RU5004181
F52C0724ATX Reliance Duty Master Unibrake 230/460 Volts .28/.14 AMPS 60Hz
EK-5107, LIGHTNIN RELIANCE DURA-MIX B77R3045R-PW MOTOR. 0.3HP. 3PH. 1725/1450RPM
DYNATORQ 304190-5T X , W/ RELIANCE MOTOR ID# 462326-KU
Dynapar Danaher Controls with Reliance Electric Motor 1/3HP 3 Phase 230/460 v
Drive gears 80304 81558 herringbone double helix Reliance Electric Un
DODGE-RELIANCE 2-1/4" Bore, Tapered Roller, 4 Bolt Pillow Block P4BE204R
Dodge Tigear Reliance Relialube Gear Drive Reducer 7916150FG Ratio 56/262-20
DODGE TIGEAR RELIANCE GEAR REDUCER RELIALUBE, 40:1, MR94755TDB, Q175B040M056K1
DODGE TAPER LOCK SHEAVE 2/5V16.0-3020 RELIANCE ELECTRIC 111146
DODGE RELIANCE S350-50 TIGEAR 50:1 GEAR MOTOR 1HP 230/460V AC 1725RPM D201485
Dodge Reliance P2B-SCEZ-115-PCR Bearing 1 15/16" (#124508) -
Dodge Reliance MR94766 TIGEAR Right Angle Worm Gear Speed Reducer, 56C, 200-60 !
Dodge Reliance Lot of 2 Sleeviol 7" Dust Seal For Inducted Draft Fan #133617
Dodge Reliance Gearbox Speed Reducer 20:1 Ratio 56C 3PH Electric Motor 1 Hp
DODGE RELIANCE GEAR SPEED REDUCER RATIO 30:1 # 26Q30H14 1705 IN-LBS
DODGE RELIANCE GEAR SPEED REDUCER RATIO 15:1 23Q15H56 TORQUE: 1199 IN-LBS 56C
DODGE RELIANCE GEAR SPEED REDUCER RATIO 15:1 # 23Q15R56 1199 IN-LBS 56C MT.
Dodge Reliance Gear Coupling Sleeve Assembly,3W 149814
Dodge Reliance F4R-S2-115RE Dodge S2000 4-Bolt Round Flange Exp
DODGE RELIANCE ELECTRIC TM REDUCER
Dodge K18G3 Reliance Electric K18G0003 180 TEFC XT C-FACE Conversion Kit
DODGE F4BDI207R FLANGE BLOCK 023435 RELIANCE ELECTRIC
DODGE 12.7:1 RATIO GEAR REDUCER 56WM16B W/ RELIANCE 1 HP MOTOR P56H1441X-DA
DODGE 12.7:1 RATIO GEAR REDUCER 056WM16B13 W/ RELIANCE 1 HP MOTOR P56H1441H
Dodge 042744 070324 Reliance S2000 Bearing 1-15/16"
CROUZET SYRELEC ~ Reliance ~DIRT 110-.2 CURRENT RELAY CURRENT MONITOR 64418-5C
CR Clark Reliance RECID-24R Relay Module 100K Sensitivity Direct Mode 120VAC NOS
COOLING FAN FROM RELIANCE ELECTRIC B2810ATZ TYPE TR SUPER RPM D.C MOTOR
Communication Module Reliance 57C380
CO-10 Controller Reliance Electric Power Supply Board 0-51903 66042-70R *FREE SH
Clark Reliance V2-124 Ball Gage Gauge Valve Set (2) 4000 PSI 100F UN TAKEOFF
CLARK RELIANCE PW-24BR PW24BR
CLARK RELIANCE A13012 A13012
CLARK RELIANCE 121 121
C48H2590MNJ RELIANCE SINGLE PHASE MOTORS REMANUFACTURED
LOT OF 3 FROM RELIANCE ELECTRIC B2810ATZ TYPE TR MOTOR
BRAND RELIANCE SINGLE PHASE BRAKE MODEL F52B4734A
Box of 8 Reliance Electric 404844-FA Carbon Motor Br
Baldor-Reliance VL3504 Industrial Motor .5 HP 1725 RPM
Baldor-Reliance VEM3542 Super E Motor
Baldor-Reliance VEM3542 Super E Motor
Baldor-Reliance Motor Explosion Proof .25 HP 115/208-230 Volts 1725 RPM EXP1-MOT
Baldor-Reliance electric motor
BALDOR-RELIANCE 1.5HP INVERTER DRIVE MOTOR w/STERNS BRAKE IN PACKAGE
Baldor/Reliance 1.5hp motor, 200/400v, cat#0105354208-000010, 1450rpm, fr-145TC
Baldor VM3609 Reliance 2 HP 3 Phase 208-230/460 VAC 1725 RPM Motor
Baldor Reliance VM3543 3/4 HP 3PH 208/230-460 Volt 56C Frame Electric Motor
BALDOR RELIANCE VL3504 INDUSTRIAL MOTOR 0.5 HP 1725 RPM VL3504
Baldor Reliance Super-E Motor Model VEBM3546 1 HP 1760 RPM 208-230/460 V
BALDOR RELIANCE SUPER-E 841XL 1HP 1765RPM ELECTRIC MOTOR 05E811W75V ECB83581T-4
Baldor Reliance SuperE 7.5hp AC motor EM3311T Gold Frame 213T
Baldor Reliance Severe Duty 1HP Motor
BALDOR RELIANCE MOTOR, VM3615T, 36A03W415, 5 HP, 208-230/460V, 1725 RPM, 3 PHASE
Baldor Reliance Motor M3115 1HP 1 HP 460V 3.6A 3450 RPM 3PH
BALDOR RELIANCE MOTOR M1221T, 1 1/2-.67HP, 1725/1140RPM, 3PH, 182T FRAME
Baldor Reliance Industrial Motor 1 HP 230/460 Volts 3 Phase w/ Hytrol 4A Gearbox
Baldor Reliance EM3554T Super E Motor, 1.5 HP, 1760 RPM
BALDOR RELIANCE ELECTRIC P1469258S 3PH 2HP 1730RPM TYPE P AC MOTOR
Baldor Reliance Electric Motor Severe Duty XT 15 HP CTM2333T 208-230/460V 254T

AMAT Quantum Leap II Process Control Rack

Applied Materials QUANTUM LEAP II PROCESS MODULE

Applied Materials QUANTUM LEAP II Beam Line

Kokusai Vertron 3 VDF LPCVD Diffusion furnace 200mm

Varian E1000 Mainframe End Station

KLA 2131 Defect Wafer Inspection System Working

Materials Research Corporation Eclipse Star Parts Tool

Plasma-Therm Industrial Products Core Interface

Novellus Concept Two II Altus Debbbbbbbb Tool DLCM

AMAT XR80 300mm Ion Implanter Process Rack 9090-00668

LAM Research AC Distribution Unit 685-029442-140 New

Hitachi S-9300 CD SEM Tool 300mm Complete

CFM Water Purification System Full Flow 4/97 Astex

AMAT Centura RTP 300mm Chamber Tool

AMAT Centura RTP Chamber Tool 300mm

LAM OnTrak DSS-200 Wafer Scrubber System Working

LAM OnTrak DSS-200 Wafer Scrubber System Working

Cymer Excimer Laser System ELS-6400

Therma-Wave Opti-Probe 2600B thermawave optiprobe

Tokyo Electron ACT 12 Cassette Block 200mm

Faro Measuring Arm S12 Silver Series 12 working

Rorze FABS-202 Wafer Transfer Station 1VRR8150-W01-005

Rorze FABS-202 Wafer Transfer 1VRR8150-W01-006 working

Nova NovaScan 3060 Meas. Unit Wet System new 0190-00492

Nova NovaScan 3030 Dry Meas. Unit 300mm new 0190-00563

Faro Measuring Arm S08 Silver Series working

KLA-Tencor Prometrix FT-750 Film Thickness Measurement

NanoMetrics NanoSpec 9000 Profilometer Set new

NANOmetrics NanoSpec 9000b 9000i Metrology Tool new

Tokyo Electron ACT 8 Interface Block and WEE Station

KLA-Tencor Prometrix FT-750 Film Thickness Measurement

Coherent ExciStar S Laser 1127985 rebuilt 0190-B0150

LAM Rittal Corp. AC Distribution Unit ES5984 New

Rudolph bbbbPulse XCu 200mm Metrology Tool

KLA-Tencor CRS 3000 300MM COMPLETE SYSTEM

Tokyo Electron ACT 8 Process Block Robotics Arm

Tokyo Electron ACT 12 Process Block Robotic Arm 200mm

Nikon Card Cage Assy. APGCi 7500 RS013-497 working

Verteq 1800 SRD Tool 1800.6 1800-6AR working 200mm

CTI-Cryogenics High Capacity Compressor 0190-07137 new

bbb ACT 12 Wafer Edge Exposure (WEE) Process Station

Tokyo Electron ACT 8 Wafer Edge Exposure(WEE) Prcss Stn

Shinwa Temperature & Humidity Controller T&H-ESA-8-T-01

Rudolph Technologies bbbbPulse 200 Metrology Tool 200mm

Rudolph Technologies bbbbPulse 200X Cu Metrology Tool

Rudolph Technologies Metrology Tool bbbbPulse 200X Cu

Tokyo Electron ACT 12 Develop Process Station Right

Tokyo Electron ACT 12 Develop Process Station Left

Tokyo Electron ACT 8 Develop Process Station Left

Tokyo Electron ACT 8 Develop Process Station Right

Novellus Concept Two Altus Wafer Chamber CVD-W complete

Shimadzu Turbopump TMP-3403LMTC rebuilt 3620-00486

AMAT XR80 Implanter 300mm Wheel and motor 0020-99685

Tokyo Electron bbb ACT 12 Chemical Cabinet Working

bbb ACT 8 SOG Coat Process Station Right Working

bbb ACT 8 SOG Coat Process Station Left Working

Tokyo Electron bbb ACT 8 Chemical Cabinet Working

Tokyo Electron ACT 12 Cassette Block Robotics Arm

Tokyo Electron ACT 12 Coat Process Station Right

Tokyo Electron ACT 12 Coat Process Station Left

Tokyo Electron ACT 8 Coat Process Station Left

Tokyo Electron ACT 8 Coat Process Station Right

Fusion Semiconductor M200 PCU Photostabilizer For Parts

Alcabbb Helium Leak Detector ASM 180td+ working

Alcabbb Helium Leak Detector ASM 180td+ working

Edwards Vacuum Turbopump STP-XH2603P new 3620-00368

Novellus Concept II Two C2-DCLM-S Untested (For Parts)

Novellus Concept II Two C2-DCLM-S Untested (For Parts)

SMC Thermo-Con ACT 12 Tokyo Electron INR-244-244U-670

Seiko Seiki STP-1000 Vacuum Turbopump rebuilt

Pfeiffer Vacuum Turbopump TMH 1001P rebuilt

AE Ovation 35162 RF Generator 3150861-002 0190-27049

Kensington 200mm Wafer Sorter Mapping Station CSMT-4

Takatori ATRM-2100 Delaminator Tape Remover Working

Therma-Wave OptiProbe 2600B Film Measurement Module

ATS M-PAK Tmp Control System Chiller MP40C-DI new
AMAT Part No: 0190-08467

Shimadzu Vacuum Turbopump TMP 2001-LME new

ASML DSX Stepper A1 Prime 8540138001 working

AMAT Endura PVD Lower Chamber 300mm 0010-22744

AMAT Endura PVD Lower Chamber 0010-22744 300mm

AMAT XR80 Implanter Wheel Assembly 200mm

SMC Thermo-Con bbb ACT 8 Temp Control CTINR-244-211T-45

bbb ACT 8 Chilling Hot Plate Process Station (CHP)

bbb ACT 8 or 12 Computer Module CT2980-415508-W1

ATS M-Pak MP40C-DI Temp. Control Chiller 0190-08467 new

ATS M-Pak Chiller System MP40B-GL new 0190-08471

Inficon Pfeiffer Transpector Test System CIS TS100

Nicolet ECO 1000 Metrology Tool untested

AMAT 6" VCR Heater Assy. 0010-70252 new

ATS M-Pak Temp. Control Chiller System MP40F-DI working

Takatori ATM-1100C Delaminator Tape Remover Working

Advanced Thermal Sciences Chiller MP40B-GL working

Kobelco Leo LTA-1200 Wafer Lifetime Measuring System

Shimadzu Vacuum Turbopump TMP-3203LMC-K1 working

Shimadzu Vacuum Turbopump TMP-3203LMEC-K1 working

Shimadzu Turbopump TMP-3403LMC working 3620-00454

Coherent Photocoagulation Laser Novus Omni untested

Shimadzu Vacuum Turbopump TMP-3403LMTC working

Shimadzu Vacuum Turbopump TMP-3403LMC working

bbb ACT 8 Low Temp Hot Plate Process Station (LHP)

Brooks Inligner Prealigner & Controller 001-2980-69

SMC Thermo Chiller INR-497-049 working

Yaskawa Transfer Robot Track XU-ACL3701 new

Alcabbb ATH 1600 M Vacuum Turbopump working P65621A0

Edwards iQDP80 Vacuum Pump QMB250 Blower Rebuilt

Leybold Turbovac 1000 C Vacuum Turbopump rebuilt

Toyota T600 Vacuum Dry Pump 0240-52730 new

Tokyo Electron bbb ACT 12 AC Power Box Working

Tokyo Electron bbb ACT 8 AC Power Box Working

Hitachi S-9300 SEM Transfer Robot working

Toyota T600 Vacuum Dry Pump new 0240-52729

MRC Power Supply Tower PDP 2500 ACG-10B MDX Delta

bbb ACT 12 WEE Lamp House CT2985-411701-W3 HU250T-A

bbb ACT 8 Interface Block Robotics Arm 200mm

bbb ACT 12 Interface Block Robotics Arm 200mm working

Yaskawa VS2B Robot & Controller RC/ELC1 SRC-II 005

Leybold Dryvac 100P WSU251 Dry Vacuum Pump working

Yaskawa Transfer Robot Track Rail XU-ACL4722 new

Asyst IsoPort 300mm Load Port 9700-9129-01 working

SMC Thermo-Con bbb ACT 8 Temp Control INR-244-211T-24

Leybold Vacuum Turbopump Turbovac 1000C rebuilt

Edwards Dry Vacuum Pump iH 35 SE working

Yaskawa bbb Unity 2 Transfer Robot & Controller VS2B

KLA-Tencor 2139 ECS Theta Z Assy. 740-695328-000 new

PTI bbb ACT 8 12 Exhaust Controller Sentry 1000 w/ TIM

Hitachi 3-832863 Yashibi YCC-18K-X DC Pwr Supply Refurb

Hitachi 7.5kVA Transbbbber Box W/ Siemens FXD63B250 New

Agilent Fiber Laser Source Z4203B Opt. 001 working

Asyst Wafer Robot & Controller UTV-F2500HA CS-7100 new

Nikon MAC & LS Controller 027H0153 W/ Sub EP & LS IOP

KLA-Tencor AIT 2 Upper Opto-Mech NNC 0024755-001

KLA-Tencor AIT 2 Rear Collector Assy. 0032129-000

KLA-Tencor AIT 2 Front Collector Assy. 0032131-001

bbb Procell Electronics Cabinet & Components NSK

CTI-Cryogenics High Capacity Compressor 0190-27351

Tokyo Electron ACT 12 Front Opening Unified Pod B-Type

Materials Research Corp. Eclipse Star Chamber Assembly

KLA-Tencor AIT Upper Opto-Mech 0024755-000 working

KLA-Tencor AIT 2 Collector Assy. 0074311-000 working

KLA-Tencor AIT Rear Collector Assy. 0074307-000 working

KLA-Tencor AIT2 AIT3 Rear Collector Assy. 562556

KLA-Tencor AIT 2 Upper Optoc-Mech 0024755-001 working

VAT Pendulum Valve 65048-PHCG-ALS2 Working 0190-29861

Leybold Cryopump Coolvac 1500 rebuilt 0190-12092

Hitachi 3-83818-01R Pearl Kogyo RF Generator LP-150

ASML Control Card Module 4022.470.7782 working

ENI Spectrum B-5002 RF Generator 5kW refurb 0920-00062

Staubli ASML Robot Arm PUMA 260B rebuilt

Edwards iQDP40 Dry Vacuum Pump QMB250 Blower rebuilt

Yaskawa Transfer Robot Track XU-ACL3701 working

ASML Vacuum Gas Module 4022.486.21561 working

Brooks 200mm Prealigner Chamber 10600-10 working

LAM Research LBPM AC Distribution Unit 1B02735G01 New

Ecosys Novapure S451D Scrubber Tank C3-0NN-B5-C2-D3

LAM Research RBM AC Distribution Unit 1B02737G01 New

Lam 150mm Chamber Assy 8 ESC 38 853-080202R150 Rfrbshd

Ebara A10S Vacuum Pump rebuilt working

ENI Spectrum 5kW RF Generator B-5002 working 0190-27361

bbb ACT 8 SOG High Temp Hot Plate (HHP) Process Station

Therma-Wave Optiprobe OP2600B Used, AS-IS

Yaskawa Linear Servo Motor SGLFW-1ZA200A-AC11 new

KLA-Tencor Surfscan 6220 Inspection Tool AS-IS

Lam 4420 Etcher Orbital Gas Panel Assy 853-024403-100

Nikon S306 Scanner Wafer Chuck 300mm SRX4P KBB21341

LAM 4420 Etcher PCB Rack 853-017305-002 Working

Ecosys Novapure Resin Tank C3-0YN-C6-K2-H2 new

Ecosys Novapure S447D Scrubber Tank C5-YY0-B5-D5-NN new

Tokyo Electron ACT 8 Chill Plate Process Station (CPL)

AMAT IECP Main AC Cabinet 0190-01661 untested

Hitachi S-9300 SEM Electron Gun Assy. untested

ATS M-Pak Temp. Control System Chiller MP40C-DI working

Lufran DI Water Heater 052-RE-480-000-U-CAB working

bbb ACT 8 WEE X-Theta Drive Assy 200mm working

Leybold UL 500 dry Helium Leak Detector

bbb Fujikin Water Vapor Gen. Controller WVG-S2-Y-IB7

bbb Fujikin Water Vapor Generator WVG-S2-Y-IB4 New

bbb Fujikin Water Vapor Generator WVG-S2-Y-IB7 New

bbb ACT 12 Adhesion Process Station 12" working

Buckley Systems Ltd. AMAT XR80 Beam Magnet working

Materials Research Eclipse Star Chamber Assy. A119124

MRC Eclipse Star Chamber Assy. A120946

Hamamatsu LC5 Dual Optical EBR System

Hitachi S-9300 SEM Transfer Robot working

KLA-Tencor AIT 2 Measurement Head 0021726-001 working

MRC Eclipse RMX Magnet Assy. A119182 RD working

MRC Eclipse Star RMX Magnet Assy. 026146 working

MRC Eclipse RMA Magnet Assy. A126387 working

AMAT XR80 Implanter Gas Box Assy. 9010-00049 0010-99158

Brooks Genus Left Vacuum Load Lock 001-9200-54 working

bbb ACT 12 WEE X-Theta Drive Assy 200mm working

Yaskawa Transfer Robot Track XU-ACL4720 working

Alcabbb ADS 801 Dry Vacuum Pump not working

Ebara Dry Vacuum Pump A150W-T untested

Ebara A150W-T Vacuum Pump untested

Thermo Electron Neslab DI Max DEI Water to Water Cooler

Yaskawa Dual Arm Transfer Robot XU-RCM7221 new

Yaskawa Transfer Robot Linear Track XU-ACL4141 new

bbb Tokyo Electron Fujikin Gas/Steam Cabinet

Edwards Dry Vacuum Pump iQDP80 rebuilt

Edwards iQDP80 Dry Vacuum Pump AMAT 3620-01386 new

Edwards Dry Vacuum Pump iQDP80 rebuilt

ASML Stepper A1208 Digital Focus PCB 859-0743-018

bbb ACT 8 Plate Process Temp Control CT2986-424791-12

Alcabbb ADS 501 Dry Vacuum Pump not working

Alcabbb Dry Vacuum Pump ADS 501 not working

bbb Eclipse Star Shield Kit 42755-0500 new

Shimadzu Turbo Molecular Pump TMP 3403LMC (A2)

Shimadzu Vacuum Turbopump TMP-3203LMEC-K1 not working

Shimadzu Vacuum Turbopump TMP-3203LMEC-K1 not working

Shimadzu Vacuum Turbopump TMP-3203LMC-K1 need rebuild

Shimadzu Vacuum Turbopump TMP-3203LMEC-K1 need rebuild

AE Pinnacle 6kW DC Power Supply 3152412-219B 0190-19198

Shimadzu Vacuum Turbopump TMP-3203LMC-K1 need rebuild

Shimadzu Vacuum Turbopump TMP-3203LMC-K1 not working

Shimadzu Vacuum Turbopump TMP-3203LMC-K1 need rebuild

Shimadzu Turbopump TMP-3403LMTC not working 3620-00486

Shimadzu Vacuum Turbopump TMP-3403LMC not working

AMAT RF Match Etch 0010-30094 new

Brooks Transfer Robot ABM-405-1-S-CE-S293 0520-00041

Brooks Series 8 Robot Controller 106512 working

Brooks Genus InCooler Cooling Station 001-4700-12

AE Pinnacle DC Power Supply 3152412-243C 0190-25689

Vat Pendulum Valve 65046-PH52-AKF1/0041 New 3870-03466

Coherent IndyStar 193 Laser Discharge Unit 0190-B0160

Asyst Robot Controller CS-7100S new 0190-14191

Hitachi PCB Control Unit S2-84261 W/ Pwr Spply New

Mitsubishi Sinchoon Turbopump FT-2300M-W1K1 untested

CTI Cryogenics On-Board P300 Cryopump 0190-27355 new

Shimadzu Vacuum Turbopump TMP-3403LMTC need rebuild

Shimadzu Vacuum Turbopump TMP-3403LMC need rebuild

AE Navigator RF Match 3155169-009C new 0190-23122

AE Navigator RF Match 3155126-009D refurb 0190-27577

AMAT XR80 Implanter Exchange Arm 9010-00672 ITL

Daikin Multi Loop Heat Exchanger Chiller TWR200A4 new

AE Navigator RF Match 3155126-018B refurbished

Komatsu Heat Exchanger AKX-3000-V AMAT 3380-00034 new

Nikon Scanner Prism Unit 4L990-797AN working

Nikon S306 Depolarizer 4L991-783AN new

CTI-Cryogenics High Capacity Compressor 8128903G004

Osaka Vacuum Turbopump Set TH542, TH532ASAW untested

AMAT Ion Source Indirectly Heated Cathode 0011-92437

Varian TV 301 Vacuum Turbopump 7698918 new

bbb ACT 12 DC Power Supply TDK MSE181E working

bbb ACT 8 DC Power Supply TDK MSE343B working

AE E'Wave Bipolar DC Power Supply 3152603-014D rebuilt

Manifold Indexer Assy. SX6082 AMAT XR80 0520-90006

Yaskawa 300mm Prealigner XU-ACP130-B11 new

Yaskawa Dual Arm Trnsfr Robot XU-RCM7220 new 0190-25393

Agilent Logic Analysis System 16702B working

KLA-Tencor AIT 2 Optics Module 0099610-000 untested

KLA-Tencor 2139 Software v5.3.49 Standard 0027325-000

ENI OEM-2000 RF Generator 2000W working

ENI OEM-2000 RF Generator 2000W 13.56MHz working

ENI OEM-2000 RF Generator 2000W 13.56MHz working

Alcabbb ATH 1600 M Vacuum Turbopump need rebuild

Brooks Genus InCooler Cooling Station 001-4700-17

Brooks InLigner Aligner Station 001-2980-69 working

ENI DCG-200 DC Power Supply Set DCG-200Z 60kW new

TDK bbb ACT 12 DC Backup Module MSE181D

AE Pinnacle DC Power Supply 3152412-234D 0190-24497

AE Pinnacle DC Power Supply 3152412-234F new 0190-24497

ENI DCG-200 DC Supply 40kW DCG-200Z Refurb 0190-08033

Brooks Series 8 Robot Controller 108358 working

Varian TV 1001 Navigator Turbopump 9698930M001 untested

CTI-Cryogenics IS-1000 Compressor 8135928G001 untested

CTI-Cryogenics On-Board P300 Cryopump 0190-27350

CTI-Cryogenics On-Board P300 Cryopump 8116476G002

Neslab DI Max DEI Water To Water Cooler Chiller new

ENI Spectrum RF Generator B-5002 0190-15320 working

ENI Spectrum RF Generator B-5002 working 0190-15320

AE RFPP RF25M RF Generator 3150048-000 working

AE RF25M 2500W RF Generator 3150048-004B working

AE Ovation RF Generator 3150861-002D 0190-27049 working

Nikon 4S587-668 Linear Motor Controller CLSR-0420-N2CD

Nikon 4S587-666 Linear Motor Controller CLSR-4590-N2CG

Oerlikon P1264 Stroke Cylinder 102078752 new

ENI Spectrum B-5002 RF Generator 0190-15320 working

Matrix Ind Chem Bath/Fume Hood I98BD/H-ASSY + Aquasonic

ENI DCG-200 DC Power Supply Slave DCG-200Z 0190-07969

Nova NovaScan 840 210-48000-01 New 0650-01111

Nova NovaScan 420 210-48000-01 New 0650-01111

Nova NovaScan 420 210-48000-01 New 0650-01111

Hitachi 3-845612 Kyoto Denkiki KDS-20170S Power Supply

Hitachi DC Power Generator 3-821755-2 New

AE AZX63 Automatch RF Match 3155031-023A refurb

Lam 200mm Chamber 853-073087-600-A-262 working

Asyst Reticle SMIF Indexer R150 new 9700-4314-01 Rev.E

Asyst Reticle SMIF Indexer R150 9700-4314-01 new

Varian TV 300HT Vacuum Turbopump 9699037S008 new

Leybold Inficon Gas Analyzer Transpector CIS 2 untested

Nikon SPA431B Linear Motor Controller 4S587-241

MicroVision 200mm Wafer Sorter MVT 7080 Sorter working

Varian TV 250 Vacuum Turbopump 9699007S024 refurbished

ENI DCG-200E DC Power Supply Slave 0190-22935 new

AMAT XR80 Implanter Gas Interface 0090-91890ITL

ASML Twinscan BP Mirror 193NM 4022.454.9932.2 new

Seiko Seiki Vacuum Turbopump STP-301 New

Lucas Labs CAT Process Chamber Assurance Tool

AMAT XR80 Implanter Gas Interface 0090-91819 ITL

Nicolet ECO 1000S Metrology Tool untested

AMAT XR80 Implanter Gas Interface 0090-91890 ITL

AE Apex 5513 RF Generator 5.5kW working

Hitachi Transfer Clean Robot CR-712V working

Leybold Vacuum Turbopump Turbovac 1000 C working

Lam OnTrak DSS200 Wafer Scrub bbbbb Station 12-8892-084

Nikon Optistation 3 Wafer Loader Assembly

AE Apex 5513 RF Generator 5.5kW 0190-11209 working

ENI OEM-12B3 3 Phase RF Generator 1250W new 0190-76006

Leybold Coolvac 1500 SemiLine Cryopump working

Electroglas Horizon 4085X Wafer Prober 200mm untested

Genmark Precision Automated Transfer Robot working

Edwards iQDP80 Vacuum Pump QMB250 Blower, Needs Rebuild

MRC 200mm Eclipse Magnet Assy. A120364 new

Hitachi M-308ATE PCB Cage System Controller Module

Yashibi YCC-18K-X DC Pwr Supply 5kV 500mA Hitachi M-308

AMAT XR80 Implanter Gas Interface 9090-00106 ITL

ENI DCG-200Z DC Plasma Generator 10kW DCG-200 working

Raymond Pacer 60C40TT Stand Up Fork Lift 4000# 183" 36V

ENI DCG-200Z DC Plasma Gen. 10kW working 0190-07959

Asyst SMIF-300FL Load Port 300FL,S2.1 HAMA/E-84

Alcabbb Vacuum Turbopump 5401

Edwards iQDP80 QMB250 Dry Vacuum Pump working

Edwards iQDP40 Dry Vacuum Pump QMB250 Blower as-is

Neslab DI Max DEI Water to Water Chiller

Nikon SPA236A Linear Motor Controller 4S586-947-2

Nikon SPA432B Linear Motor Controller 4S586-950-4

Nikon SPA432A Linear Motor Controller 4S586-949-4

SMC Thermo Chiller INR-497-032 working

AE RF Match Network 3155031-021A AMAT 0190-01402

AMAT Quantum Leap III Ion Source IHC 0040-50527

Thermo Neslab DI Max Water To Water Chiller 0190-06335

AE Navigator RF Match 3155169-001D 0190-15007 working

Kawasaki CCD Prealigner 3NS003S-C201 working 0190-17388

ChemWest Automated Slurry Filter Cabinet 420518 working

Ebara ET300WS-A Vacuum Turbopump 3620-01449 rebuilt

Ebara ET300WS Vacuum Turbopump 3620-01449 rebuilt

AMAT Local RF Match 0010-08323 Rev.007 working

AMAT Top Local RF Match 0010-08322 Rev.002 working

AMAT Top Local RF Match 0010-08322 Rev.007 working

AMAT Top Local RF Match 0010-08322 Rev.003 working

Trazar Corp. RF Match AMU10H-1 working

Varian Plasma Flood & Bias Supply E11020501 working

Kawasaki Robot Controller C62C-A002 working

MECS Wafer Handling Robot UTM-3500 with Controller

AE PDX 900-2V RF Generator 3156024-110A working 900W

AE PDX 900-2V RF Generator 3156024-110B working 900W

AE PDX 900-2V 3156024-110C RF Generator 0190-75075

AMAT Top Local Match 300mm Ultima 0010-08322 working

Seiko Seiki Turbopump Controller SCU-H1000C STP-H1000C

Seiko Seiki Turbopump Controller SCU-H1000C STP-H1000C

Hitachi 3-833535-1 Pearl Kogyo RF Generator RP-300 Rfrb

Despatch Tool Conditioning Oven SNB2-24-S working

Genmark Automation Robot Controller S08R v.11 working

Genmark Robot Controller SMALL 990010622A1 working

Equipe PRI Transfer Robot ABM-407B-1-S-CE-S293 working

Genmark Automation Robot Controller S08P4.RD working

Genmark Classic Transfer Robot working

AE Navigator RF Bias Match 3155126-009A working

Kensington Robot 15-3702-1425-25 rebuilt 0190-22248

Varian Turbo Pump TV 301 rebuilt 7698918

Yaskawa Robot Controller XU-CM7001 working

AE VHF 2060 RF Generator 3150852-005A 0190-14416

Asyst Transfer Robot UTX-F5500-16 working 0190-14191

Atto Purex Ar Gas Purifier AP-RM-050 working

LAM Lower Electrode Match Box 853-440656R001 Refurbshd

Shimadzu Vacuum Turbopump TMP 280-L new

Brooks Automation Transfer Robot ABM-407B working

GaSonics Lamp Tray Assembly A95-053-02 lot of 3

Sytron DC Power Supply SYR 75-6.5 working 75V 6.5A

CTI-Cryogenics On-Board 8F Cryopump w/ACM 8116081G006

AE HFV 8000 RF Generator 3155083-000B not working

MOOG BX-300 8-Axis Controller 100-000-943-01 0090-00432

Nova NovaScan Controller 315-20000-00 untested

Daihen RF Match 3000W AMN-30H not working 0190-15323

Neslab Coolflow Chiller HX-150 not working 0010-00570

Thermo Neslab MX900 MX-900W Chiller 0190-06961

Nikon NSR S202 Light Source Module working

AMAT P5000 System Electronic Assy. 0010-09001 working

AMAT P5000 Liquid Source Heater Control Bd. 0100-09180

STIL High Res. AutoFocus Sensor CHRAF2-450-N6 working

CTI Cryogenics On-Board P300 Cryopump 8116250G001

KLA-Tencor Glentek Electronics Analyzer 0045454-000

AE RFPP LF-5 RF Gen 3150012-009 working 500W 0190-01014

KLA-Tencor SLX RF Bench Assy. 740-336864-001 untested

KLA-Tencor Rotary Transfer Arm 720-07360-000

KLA-Tencor XP bbbbbbive Lens 0110155-000 Untested

AE Advanced Energy RFPP LF-5 Generator 7520572050 500W

VAT Control Valve 16540-PA41-ACA1/0009 gate pendulum

Berkeley Process 4-Axis Controller BAM-432T working

Brooks MTR-5 MultiTran 5 Robot Dual Arm Assy. working

Newport Kensington Robot 15-3702-1425-26 0190-22250

Kensington 25-4021-0015-05 Robot Controller 0190-23562

AMAT XR80 Vacuum Interface 9090-00102 ITL working

Synetics End Effector UK-9240-06310-D new 9240-06310

Synetics End Effector UK-9240-06174-C new 9240-06174

Yaskawa Synetics 300mm End Effector HU0480262 new

Yaskawa Synetics 300mm End Effector BR943067 new

Yaskawa Synetics 300mm End Effector HU0480679 new

Hitachi 200mm Wafer Alignment Unit HT98311 working

Tec Izu 24V DC Power Supply 4S064-644 working Nikon NSR

JAE 6 Axis Vibration Measurement Unit JNP-003 Nikon NSR

KLA-Tencor Newport AIT3 Mask Changer 556190 New

Hubbell Electric Booster Heater A613RXX Nikon NSR-S202A

KLA-Tencor Laser Mod 0124593-000 New

KLA-Tencor AIT UV Laser Servo Detector 0099586-001 New

Osaka Vacuum Turbopump Power Supply TD2001

Pfeiffer Vacuum Pump UniDry DBP 050

NOVAPURE S2000 HF Effluent Gas Scrubber

Edwards DryStar Dry Vacuum Pump QDP40 AS-IS

Nikon Optistation MST Board S2015-064-4 Working

SMC HE Control Unit Assy INR-498-P034 new 0190-18420

LAM Research Power Supply Board PCB 810-017075-003 New

Shimadzu Vacuum Turbopump Controller EI-3203MD working

Orion Pel Thermo Heat Exchanger ETN23A-SC-B 0190-10030

Lam Research VAT 8" Gate Valve Working

National Instruments 5 1/2 Multimeter Board PCI-4060

Varian Implanter Bearing Assy. X4064200 rebuilt

KLA-Tencor Upper Housing Assy. 781-21332-000 working

SR Cosmo 2.0 Video Compression Board 030-0933-003

AMAT Gun High Voltage Cable SV 50414731100 working

bbb Tokyo Electron Barrel Shield WZ10-102853-11 new

B&H Engineering PVD300 Shield Pedestal 17-306818-00 new

B&H Engineering PVD300 Adapter Shield 17-308908-00 new

B&H Engineering Barrel Shield 17-308972-00 new

Pentagon Tech. Novellus PVD Shield 17-165771-02A new

Pentagon Tech. Novellus Stage Shield 16-126664-01 1 new

Ebara Turbomolecular Turbopump Controller 305W

Ebara Turbopump Controller Model 804W-A

Nikon Optistation3 bbbbbbive Lens BD PlanApo 150/0.9

KSI Kaiser High Voltage Power Supply series 1000

bbb ACT 8 Motor I/O Board TKB3100 AP93-0318C-12 working

bbb ACT 8 12 Chem. I/O PCB CPC-T0001A-13 W/ Conn Bd

HiTek Power 1140-00161 Power Supply working

Nikon Optistation SCPU PCB S2014-033-4 Working

HiTek Power Hivolt DC Power Supply 1140-00161 working

AMAT Centura CP3308-S000527 Flow Controller 0010-24209

SMC Pneumatic Cylinder NCDQ2B125-UIA97 working lot

CTI Cryogenics Model SC Compressor and Controller

ENI OEM-6 RF Generator 650W OEM-6AM-1B-21251 working

Osaka Vacuum Turbopump Power Supply TD2001

DAIHEN SGP-30A 2460MHZ 3kW GENERATOR working

ASML Stepper A1211-AFA Module PCB 854-8301-007

Nikon Optistation MIC-CNT PCB 2S005-230 Working

KLA-Tencor Newport NNC, AIT3, XP Polarizer 0044232-001

QML/MSXLOD 200mm Chuck 879-0362-002D

bbb P-8 Wafer Prober 200mm Indexer Assy. working

SMC TigerStack 3306FC Stackable Hub working 720.250

Aerotech Unidex 511 Multi-Axis Motion Controller

SpeedFam Wafer Head Jacking Ring 1420-737650

Advance Hivolt DC Power Supply 1140-90129 working

VAT Door Slit Valve 07512-UA24-ADA1/0039 Working

Technol Seven Chuck Heater Y331-70

HiTek Power DC Power Supply 0090-91806ITL working

Hitachi S-9300 SEM EVAC Control Unit working

IKO Robot Track TSL220/A10 working Hitachi S-9300

Brooks CryoTiger Compressor T1101-01-290-14 working

KSI Kaiser Systems Power Supply S1-1-1000 working

NSK EXC Controller EXC20A310T00-04 working

Indramat Servo Controller 1.2-050-300-W1-000 working

Eclipse star MRC PVD Pie Pan Shield D124821-200A

Entegris Flow Controller Lot 6500-T5-F03-H04-M-P2-U1

Entegris Flow Controller Lot 6500-T2-F02-H04-M-P2-U1

AMAT Batch Aligner Micro-Controller 0090-91510 working

ENI LPG-12A RF Generator 1200W not working

LAM Research Gas Interface PCB 118130-009 Working

LAM Research Process Controller Board PCB 1000-0050 New

LAM Research ADIO 9800 PCB 810-057023-002 New

LAM Research Power Supply PCB 40-0075-002 New

AMAT XR80 Implanter Voltage Clamp Unit 0090-91598

Lam Research Alumina Upper Filler Ring 716-011057-002

Alcabbb 1004 A, 2004 A Vacuum Pump Lot Need Rebuild

Air Products GasGuard Cabinet 801-4704081K untested

Nova Measurement Unit 300mm 315-10000-00 untested

Siemens Micro Master Junior Inverter 6SE9112-6BA53 new

KSI Kaiser Systems High Voltage Power Supply S1-1-1000

ENI LPG-12A RF Generator LPG12ALX-21051-50

Lam Plate Reactor Upper Section 716-051031-002 New

Lam AC/DC Power Distribution Assy 853-495288-022 New

Lam Research Motorized Valve Assy 853-055030-001 New

Lam Research MFG CU PH-2 (AMD) Assy 122958200 New

Lam Research VME 68030 PCB 810-490009-001 New

Lam Shield, Horn O2 Introductn 9900 716-072016-003 New

Lam Research Ring Clamp 715-028289-001 Rebuilt

Lam Research Shield Section, Top Plate 716-051054-001

Lam Research Upper Reactor Plate 716-051031-003

Asyst 300mm Wafer Prealigner Model 5 not working

VAT Pneumatic Gate Valve 10846-XE28-AAX2 3870-02693

ITW Texwipe TX 5377 HydroCell N

National Instruments Bus Interface VME-MXI-2 working

Nikon Metro 300mm MCR-DRV PCB 2S003-056 working

Nikon NSR Series RL-LIBHT2 PCB 4S018-679

Tosoh AMAT Endura Shutter Disk 805-438-TA new

Price Pump Vertical Pump CD100VSS-494-015-200-36-376

Millipore bbb Encompass Controller ENCOM1CN0 working

HiTek Power 35kV DC Power Supply G303151 working

Nor-Cal IQ Series Pendulum Valve 3870-04597 working

VAT Rectangular Gate Valve MONOVAT 0340X-CA24-BIK1

AMAT Applied Materials RPSC Applicator 0010-02146

Lam 8in. Silicon Graphite Electrode 715-011909-501

Leybold Turbo Drive S MN TDS RS485 & MVP Power Supply

Varian E1000 Vent Valve Control 9699831S001 E37000105

Hitachi S-9300 NMEM Interface Board 560-5512 working

KLA-Tencor AIT 2 Illumination Board 556700 working

Sony KLA-Tencor AIT 2 PowerHAD Camera DXC-950 363170

AMAT Endura 300CL Robot Harness Kit 0242-21251 3 Cables

Nikon NSR Stepper Controller Z-X3-A4205RU working

AMAT Endura 300mm Disk Shutter 0021-19207 new

Varian Inner Bushing Shield E17124530 new

AMAT Centura 300mm Debbbbbbbb Ring 0021-19471 new

AMAT Centura Cover Ring 300mm 0021-18485 refurbished

Oerlikon P1264 300mm Base Ring 102211796 new

Nikon S306 BMU Mirror 4L992-195AN new

Oerlikon Unaxis 300mm End Effector 102104213 new

Oerlikon Etch Shield 102161354 new

Oerlikon PVD Shield 102179091 new

KLA-Tencor Slide 12V Power Supply 740-658138-00 working

3 Kalrez K#383 O-Ring 13.975 X .210 8575 3700-03490 New

KLA-Tencor Quantox Head Control Module 64020 working

SMC E/P Regulator ITV2011-31N3N4-X95 Lot of 5 Working

Advance Hivolt Power Supply OL330/253/07 1140-90129

HiTek Power XR80 Power Supply 1140-90164 working

LAM 4420 Etcher Wafer Shuttle Assembly 853-012500-001

LAM 4420 Etcher Edge Sensor Assembly 853-012550-002

LAM 4420 Etcher Spinner Assembly 853-012525-001 E-ELM

Kokusai Vertron Power Relay Box T1DD1-52447

AMAT XR80 Implant CD52/A Voltage Clamp Unit 0090-91598

Nor-Cal Inbbblisys Throttling Pendulum Valve 020425-1

Sumitomo Supply Hose FLX-25FM15S0-00 new 0190-27303

Omron Beam Linear Sensor Z4LA-L10 Z4LA-D1030 working

bbb ACT 8 Motor I/O Board TKB3100 AP93-0318C-12 working

bbb ACT 12 Motor I/O Board Assy. AP9E-0318C-12

bbb 90S System Power Supply Board 99-80268-01 Rev E

bbb Tokyo Electron RF Conn Box Assy A128677 new

Orion Pel Thermo Chiller ETC902-NSCP-L new

Varian Turbo-V 250 Turbopump Controller 9699523S021

Tadin TadiSense Remote System TRS-A02 MRC Eclipse Star

AMAT XR80 Implanter 208V Power Supply 1140-90164

AMAT XR80 Implanter 187/229V Power Supply 0090-91917

BioRad Quaestor Q7 Wafer Prealigner/bbbbbbbber 200mm

AMAT XR80 Implant CD51/E Voltage Clamp Unit 0090-91123

ENI Polara-260A Bipolar Pulsed Supply AMAT 0190-01428

Sony PowerHAD 3CCD Video Camera DXC-950 working

Olympus UMPlanFl 10x / 0.30 BD bbbbbbive Working

KLA-Tencor AIT 2 Servo Box Assy. 0039978-000 working

Olympus LMPlanApo 150x / 0.90 BD Microscope bbbbbbive

KLA-Tencor 740-693218-001 Extron ADA 4 300MX HV 2139

KLA-Tencor AIT1 Tropel 14X Anamorphic bbbescope

KLA-Tencor AIT1 Ultrapointe Fast Z Controller PCB

Hitachi I900SRT Optics Module Lot working

MKS GBROR InSitu Flow Verifier 123714-G1 Epsilon 3000

VAT ASM Epsilon 3000 Slit Valve 07512-UA24-ADA1 working

ASM Epsilon 3000 VAT Slit Valve 02112-BA24-0001

ENI Polara 260 DC Power Supply 0190-01428 untested

AMAT Carriage Assy Gate Valve 3870-00716 New

Tokyo Electron ACT 8 or 12 Photo Resist Pump working

bbb ACT 12 Yaskawa Servo Y & Z Servo CRA-Driver Pair

Nikon Optistation 3 bbbbbbive Lens BD PlanApo 40/0.80

Aero2 Bypass Valve A2S-75-10-V551R new KF40

VAT MONOVAT Slit Valve 0210X-BA24-AXN1 3020-A0230

SVF aero2 Bypass Valve SM1A A2S-50-10-V433R new

AMAT XR80 Implanter Power Supply 9090-00045 ITL working

D-bbbb Gigabit Switch DGS-3224TGR 24 ports working

Newport Right Zoom Assembly 8017-1039-000 new, sealed

IBM Series 346 X011YIS Server 8840-11Y working

KLA-Tencor Spring Clamp Laser Servo Detect. 0124592-000

KLA-Tencor AIT/UV Laser Servo Detector 0099572-000 New

KLA-Tencor SLX Chirp Cell 760-333918-000

Nova Controller Interface 315-21000-00 working

AE RFPP LF-5 RF Generator 3150012-009 workng 0920-01014

KLA-Tencor 10KV Floodgun Assembly 720-23189-000

Leybold Ruvac Vacuum Pump Blower WSU 151 need rebuild

Nemic Lambda 5V 24V Power Supply Lot LWD30-0524 working

Dover Instruments Amplifier Board SMA6520-50HS-001K new

Varian Turbo-V250 Turbopump Controller 969-9523S002

Advance Hivolt OL400/602/19 Power Supply 0090-91917 ITL

Yaskawa Nikon NSR S202 PCB LASC-100W8 working

Nikon NSR S202 RL-LIBHT1 PCB 4S018-473-2 working

Yaskawa Nikon NSR LASC-100W8 PCB 4S014-137 working

KLA-Tencor SLX Dog/Wag Assembly 760-333026-001

KLA-Tencor Left Cassette Nest, 5.2 740-694842-000 New

Leybold Turbotronik Turbopump Controller NT 341 MT

Nikon Hama Wafer Mapping Sensor KAB11310/201K New

Liebert UPStation GXT2-2700RT208 1140-00423

Glassman 15KV Power Supply PS/ER15N20.0Y75 working

ENI Polara 260 Bipolar Pulsed Power Supply Untested

MKS TOOLweb Sensor Integration Platbbbb SIP new

MKS TOOLweb Sensor Integration Platbbbb SIP BlueBox new

AGL Magnetron Power Supply D12691-R working 0190-09307

bbb ACT 12 ACT 8 Chemical I/O Board PCB CPC-T0001A-13

MRC Eclipse PVD Filler Ring D116049 F V New

LAM Research Accufiber Control Panel 718-061278-001 New

Leybold Coolvac Power Supply 844235 0190-03798

bbb Tokyo Electron Insulator Ring 1D05-300188-12

MKS Type 167 Flow Readout 167A Lot of 6 Working

Rorze Transfer Robot Wrist Block 0190-04680 new

Tosoh Endura Upper Shield 805-375-SG-F-312 new

Tosoh AMAT Endura Upper Shield 805-375-SG new

Nikon Motor CCNT PCB PPAT6403A new

Comet Vacuum Capacitor MC1C 120 E/1505 Lot of 8 Working

KLA-Tencor AIT 2 VAS Buffer Assy. 0034223-000 working

Tosoh Upper Shield 805-375-SG-F-280 new

Nikon Metro 300mm Ceramic Arm 2K111-421 new

Leybold Turbopump Cable 0620-01923 working 23m

Ham-Let Valve HM20 4VKLQ GF4 ISLT Lot of 15 3870-04967

LAM 4420 Etcher Outer Gate Assy 853-012350-002 Working

Kokusai Vertron Tube Controller CX-2000 CX1103C

Kokusai Vertron Tube Controller CX-2000 CX1103

AMAT Applied Materials P5000 RF Match 0010-09416

Tylan 2950 MFC Lot FC-2950MEP5-4V untested 500sccm

GaSonics Aura 2000LL Asher Control Panel Lot of 3

Unit Mass Flow Meter UFM-1100 N2 untested lot

KLA-Tencor Aperture Heated Rod 781-23234-002 Used

KLA-Tencor Aperture Heated Rod 781-23234-001 Used

Asyst Hine Design Process Chamber Elevator 04290-201

Motorola CPU Control Card MVME 166-11A working

ETEC Auto Focus ALTA-X Board 8028-0413-000 working

Motorola Control Board MVME 224A-1 working

KLA-Tencor 3XX-I Reflected Light Detector760-321399-000

KLA-Tencor Laser Servo Detector 0062032-000 Refurbished

Parker Laser Stage bbbbbbbbing System Model 4082

KLA-Tencor AMS Assembly 720-4800036-002 Used

KLA-Tencor X-Scanner Assembly 760-032324-00 New

Nikon NSR Series LC-PRES2B PCB 4S080-627

IDL Semiconductor Eq Unload Arm 8790135003 for ASMLP860

Leybold Turbotronik Turbopump Cont. NT 340M Working

Entegris NT Press. Trans, 4100-060G... 0190-14387 Lot 4

AMAT Quantum Leap III Beamline Interlock Box 0090-91409

Ebara Pump Kit HTF A70W Smart Pump Interface 3620-01329

Orion Pel Thermo ETD232-SA-A

Kensington 15-4000-0002-00 Robot Controller 0190-23562

Liebert UPStation GXT2-2700RT208 1140-00423

Ebara Vacuum Turbopump ET300W need rebuild

Ebara Pump Kit HTF A10S Smart Pump Interface 3620-01343

CaliTech 8" Clamp Ring 433-01300-D new

Ebara Vacuum Turbopump ET300WS-A not working 3620-01409

IBM eServer xSeries 306 Server 8836-PCG 0190-04334

Panasonic Servo Drive & Motor MQDA023A1A new

VAT Door Slit Valve 0750X-UE24-AAL5/0040 Working

Particle Measuring Sys. FiberVac Fiber Optic Interface

Particle Measuring Sys. FiberVac VacuLaz Interface

Edwards AIG-E-B2E Ion Gauge Controller D04847000

Mitsubishi Melservo AC Servo Drive MR-H350AN-S176-UE

Nikon NSR Series Controller IU-IOP1 4S064-630 Working

Hitachi S-9300 SEM Power Supply Assembly working

AE PDX 900-2V RF Generator 3156024-133A not working

AMAT Titan SP Head 300mm Fixture Kit 0240-13190 new

AMAT 300mm Titan Head Profiler 0010-29563 untested

Afx InUSA Multi-Channel Ozone Controller RB5L working

VAT Rectangular Door Valve L-VAT 0750X-UE24-ADE1 New

VAT MONOVAT Slit Door Valve 0750X-UE24-AAL5 new

bbb Tokyo Electron RM10 Funnel Shield D-124680-150

Luxtron 100C Optical Fiber Temp Cont System 0190-35236

Advance Hivolt Power Supply Converter 0090-90860

Sanyo 9-Channel Multiplexer MPX-CD92 working

ETEC Analog EBC Control Board 0756-4304-010W working

Ebara Vacuum Turbopump ET300W need rebuild

IBM e xSeries 360 MT 86861RX Server lot untested

Yaskawa Servo Drive SGDS-20A75AY585-E new 0190-33985

LAM Research Lifter/Spinner Assy 853-012525R001 Refurb

LAM Ceramic Ring New

bbb ACT 12 ACT 8 Develop Chemical Tank Working

bbb ACT 12 ACT 8 Solvent Chemical Tank Working

LAM Wafer Holder Exit Pair 715-7121-1, 715-7121-2 New

LAM Research Ceramic Plate New

LAM Acrylic Covers 713-000271-001D, 713-000272-001B New

LAM Research Lifter Assembly 853-0119R003 Refurbished

LAM Research LRC Heat Plate 07570-1-2-0011086 New

Cybor Photoresist Pump Power Supply 512F Working

AMAT Heat Exchanger AMAT 0 untested 0290-09018

bbb 90S-I Fixture Parts Lot W/ 99-44961-01& More

AMAT 200mm Mag Lev Rotor 0040-06794 new

Kensington Robot Controller 4000B untested

Eclipse MRC PVD BSM Wafer Holder Housing D129805 New

Panasonic Servo Drive & Motor Set MQDA023A1A new

Hitachi 3-851916 NPS21 Flow Sensor Manifold Type FS-10

Hitachi 4-817835 200mm Reflector New Lot of 4

Welch Vacuum TurboTorr Turbopump 3133 Lot of 4 Untested

Leybold Turbopump Controller Turbotronik NT 341 MT

Anorad Stage Motion Controller Galaxy XY

AceCo 200mm Susceptor S33-1891-11

Spectra-Physics Laser Assy. 0129-9535-23S untested

Newport Kensington Servo Controller 25-4021-0015-04

KLA-Tencor RS100 Equipe Robot End Effector working

Shimadzu Vacuum Turbopump TMP-203M untested

Particle Measuring Sys. Vaculaz Interface Module NS

LAM Research Motorized Valve 853-055030-001 Working

VAT Pressure Controller 641PM-16BC-0001 working

LAM Research Heartbeat Board PCB 810-17012-001 New

LAM Research Temp. Control Interface PCB 1000-0041 New

Leybold Vacuum Turbopump Controller NT 340 M working

Ebara Turbopump Controller 600W ETC04PWM-20M

AE PDX 500 RF Generator 3156024-105C working

Kensington Robot Controller 25-4021-0015-01 untested

AMAT Titan 300mm Fixture Kit 0240-13190 new

HP Agilent 10780F Remote Receiver Interferometer lot

Hitachi Cosmic Industry BJ1T Motor Cylinder 3-850138-^A

Hitachi 3-839347-A CKD Air Cylinder SCA2Q-CA80B79.2H-FL

SKF Digital Controller MB240-816-0026-002 0190-19813

AMAT Clean Kit Bell Jar 300mm CL0040-13509

Cybor Photo Resist Pump 5116

Cybor Photo Resist Pump 5116CE

Luxtron Xinix Monochromator Model 1104 Rev. 9027.02

KLA-Tencor 64060 Distribution Module working

Olympus Microscope bbbbbbive MPlanApo 20x working

HVA Pneumatic Slit Valve 22211-0210 working

Lam West Coast Quartz Bell Jar EPIC, 2.0 716-071623-001

Lam Research SIC8" Focus Ring JEIDA 716-460953-001 New

Lam Research Circuit Board 810-017012--001 New

Lam Research CCD Array Interface PCB 810-057006-001 New

Lam Research Reactor PCB 810-057039-001 New

Lam Research Nickel Shield Wall 716-051057-001 New

Lam Research Stripper PCB 810-004615-701 New

bbb 90S Control Panel 85-22664-02 Rev E New

ENI LPG-6A RF Generator 600W not working

Spectra-Physics UV Laser Head Model 2020 rebuilt

Kollmorgen 4-Channel Magnet Driver 1000-0115-00 new

bbb Tokyo Electron 200mm Wafer Holder Assembly A118320

NanoMetrics Control Smartbox 7201-2620 0190-08438

HNL Inc. RPSC Applicator for 5200 CVD 0040-18094/A

bbb Pacific Scientific Spindle Controller 121-236 Rev B

Leybold Turbopump Controller Turbotronik NT 20 working

Kensington Robot Controller 25-4021-0015-05 0190-23562

Ushio Bulb JCV120V-1000WBH/H 1003198 Lot of 10 New

Luxtron 100C M-100 Temperature Control 0190-35236

VAT Pneumatic Gate Valve 15040-PA24-0002 working

Kaijo Ultrasonic Generator 78101-AD6-UL 0190-08582

Leybold Vacuum Turbopump Cable 802-0087-062 0190-24523

Kollmorgen Goldline Rotary Drive D101A-93-1215-001

JDS Uniphase Laser & Power Supply 2214-25MLUP working

Nikon Main Interference Filter 4G055-008-2AN New

CTI-Cryogenics Network Term. 1/2 PNL working 0190-07384

Osaka Vacuum Power Supply TC440-C

Leybold Turbotronik NT 20 Turbopump Controller working

Nikon NSR Series WL3MOT5 PCB 4S007-953-A

Nikon NSR Series Linear Pulsemotor Cont. PCB 4S014-160A

Nikon NSR Series WLS3EN4 PCB 4S018-567-A

Nikon NSR Series ALGAF-P/D-X4+ PCB 4S008-115-A

Nikon NSR Series ALGAF-P/A-X4+ PCB 4S008-114

Nikon NSR Series alg-Z8277 PCB 4S008-049-D A-552

Nikon NSR Series AVIS-I/F2 PCB 4S007-787-1

Nikon NSR Series X2A-STGA/D PCB 4S007-902-1

bbb MRC Eclipse Digital I/O PCB 885-23-000 working

AMAT Endura Shutter Disk 0020-29157 new

AMAT XR80 Contacter Drive & Power Supply 0100-90385

Pulnix ASML CCD TV Camera TM-710i refurbished

V-Tex Vacuum Slit Valve 200109-35-6036-01 new

AMAT XR80 Gas Panel Power Supply 9090-00393 ITL

Kensington Robot Controller 25-4021-0015-01 untested

Osaka Vacuum Turbopump Controller TC440-C

bbb 90S Track Station Controller PCB Assy 99-80266-01

Millipore Photo 250 Encompass Controller ENCOM1CN0

HP Versatest 256K Vector Pattern Gen. PCB E7080-63516
Working Board; Xilinx XC4006E, XC3190A

Accel Implanter Control Panel AXDRC/21D

JRC bbb P-12XL AC/DC Power Supply NJD-5979

Astex MKS WPRSP Microwave W/Plasma Dector D13550

Yaskawa AC Servo Motor SGMS-50A6AB working

SMC Air Supply Box Assy. 0190-77582 working

Kollmorgen Goldline Rotary Drive D101A-93-1215-001

Kollmorgen Goldline Rotary Drive D101A-93-1215-001

Kollmorgen Goldline Drive Rotary D101A-93-1215-001

Honeywell 300mm Sputtering Target 07-600/15 new

Canon Gear Motor FG30-H208G1B Lot of 10 CN30-20601 New

SST 4 Channel DeviceNet Interface Card 5136-DNP-VME-4

Square D Interface Board SPXPCINTFV2 working

Leybold Turbopump Controller Turbotronik NT 20 working

Mitsubishi Melsec FX-128MR Logic Controller working

Lam Heartbeat Board 810-017012-001 working

Nikon Optistation 3 SCPU Board 2S700-580-1 working

Showa Optronics Nikon NSR HeNe Laser GLG5414 working

Denso Nikon PZT Driver PZTDriver 4S586-274 working

Nikon NSR Stepper Control Panel Z-X3-A4205RU working

bbb Eclipse Soft Etch Coil Assy. A119754 working

bbb Eclipse Load Lock Door A120516 new

Applied Ceramics Eclipse Insulator Ring 300075811 new

Carpenter Ceramics ALPS 894 Clamp Ring IN0440-1003 new

894 Clamp Ring IN0440-1001 new 0440-1001

AMAT Centura Shield Clamp 0021-15610 refurbished

Optem Nikon Metro 300mm Video Coupler HRTS33N3 new

Oerlikon Mask CPL 300mm 102153723 new

KLA-Tencor 301 I-Line RF Bench 740-323100-002 untested

KLA-Tencor SLX bbbescope Turret 740-333024-001 untested

Hitachi M-308ATE Dual Opening Throttle Valve new

bbb ASML MicroScan II Power Coherent Laser Control

Daikin Dupro Seals DUA19DNN01 Lot of 9 3700-03797 New

AMAT Pad Conditionr Arm O-Ring 0020-48746 Lot of 16 New

LAM 4420 Etcher bbbbb Side Loadlock

KLA-Tencor Quantox Distribution Module 64060 working

Kensington Robot Controller 25-4061-0001-00 Used, Parts

Furon Teflon Manifold 1109924 Lot of 4 0190-08629 New

Sun Ultra Enterprise 450 Server Rack 600-6283-01

Parker 945OPLPNCS5145C Valves Lot of 9 06-12812 New

LAM 4420 Etcher Serial I/O-2 PCB SYS68K/SIO-2 310004

LAM 4420 Etcher CPU PCB 880-12537-101.A2 Working

LAM 4420 Etcher SASI PCB SYS68K/SASI-1 300000 Working

LAM 4420 Etcher SRAM PCB 70113-003 Rev K Working

LAM 4420 Etcher ADIO PCB 810-10731-004 Working

Hitachi S-9300 NIP Control Board 560-5521 working

Cyber Research bbb Remote Computer STC 12-T working

Asyst Power Distribution Box 9700-6209-01 working

Hitachi S-9300 SEM Lens-PS PCB 560-5505

AMAT Mirra Gimbal 200mm Titan II Kit 0040-54572 new

Trek Electrostatic Voltmeter Model 321 working

Kokusai Vertron Furnace KBC CPU9 CPU Board working

Panasonic Kokusai AC Servo Drive ADKB400BPFADA working

Panasonic Kokusai Servo Drive ADKB400BPFADH working

GPI General Precision bbb Remote Panel RCD 3-4-1

Novellus Shield Assembly 16-117982-00 Rev.D new

Thermo Electron Controller Assy. 700P135927 working

KLA-Tencor Optics RF Bench Assy. 740-058089-00 untested

Shimadzu Turbopmp Cont EI-3203MD-A1 untested 3620-01616

Lam Lower Electrode Assembly 853-250589R001 refurbished

Newport 200mm Wafer Sorter Scanner "A" 01-280-08

Cybor Photo Resist Pump 5116C Option 05116-01,-0K

Cybor Photo Resist Pump 5016C With Filter 00511-01

Advanced Energy PDX 500 RF Gen. 3156024-105C working

Newport Kensington Robot Controller 25-4021-0015-05

Yaskawa AC Servo Gearmotor SQ165A-GA05AC new

Sumitomo Supply Hoses FLX-20FM23R(S)0-00 new 0190-25059

AMAT FI Controller I/O Interface 0190-22543 working

JDS Uniphase Laser 2213-75SLRAM072 untested

KLA-Tencor 0092173-001 Hamamtsu L9519-01 D2 HB Lamp New

Iotech Temp. Measurement System TempScan/1100 working

Lambda ZUP DC Power Supply ZUP120-1.8A new 120V

Pyramid Tech Consultants X-Scan Controller 0500-00300

bbb ACT 8 NSK Y Motor Driver CT2980-194829-11 working

Mykrolis I-Cu 300mm Anode SH0P012R02 new 0190-10257

bbb Tokyo Electron Shield WZ10-102837-11 XA

Rexroth Permanent Magnet Motor MAC112A-0-VD-4-C

Kokusai Vertron Controller Module CX2001 working

Nor-Cal Pendulum Gate Valve 3870-04611 AMAT 3870-04611

KLA-Tencor AIT Laser Servo Detector Assy. 0099586-000

Ushio SP-III UV Lamp Spot Cure Unit SP3-251V

TEXWIPE HydroCell 216m s TX 5344

LAM Serial I/O-2 PCB SYS68K/SIO-2 810-017038-002.B

Kensington Robot Controller 25-0000-0016-00 untested

Lam Lower End Plate 6" 715-011825-001 new

Honeywell Sputtering Target 037-0173-25 new 300mm

MRC Eclipse Star Power Supply Board 884-98-000

MRC Eclipse Star Interface Board 885-24-000

MRC Eclipse Star RadiSys Control Board 60-0262-01

MRC Eclipse Star RadiSys Serial Board PBA 115970-010

MRC Eclipse Star Opto 22 Relay Board PB32P2

Opto 22 Relay Board PB32P2 MRC Eclipse Star

Opto 22 Relay PCB PB32P2 IDC5Q MRC Eclipse Star

Sony PowerHAD Video Camera DXC-950 & Adapter CMA-D2

Buckley Systems AMAT XR80 Beam Magnet Assy.

BioRad Quaestor Q7 Quad Ram Board PCB 8805EC923

BioRad Quaestor Q7 LCS Board PCB 8938BF294

BioRad Quaestor Q7 Gemini PC-3 PCB 8949BT337

BioRad Quaestor Q7 Edge Detector PCB 8843CR475

BioRad Quaestor Q7 Image BUS Controller PCB 8815DL589

JDS Uniphase Argon Laser 2214-25MLUP & Power Supply

Parker MRC Eclipse Star Indexer Drive CX-DH

JDS Cyonics Uniphase Argon Laser & Power 2214-25MLUP

Millipore Photo Resist Pump Controller WGEN21CN1

Edwards iQDP80 iQDP40 Vacuum Pump Panel Skins

25 AMAT 6" Focus Rings ACP 0225-05707 "XB"

AMAT SLIT VALVE 0750X-UX24-ACT 3/0029 1-400759

Spectra-Physics Laser Assy. 0129-7082-23S untested

Orion Pel Thermo Temperature Controller ETD232-SA-A

KLA-Tencor AIT 2 Tropel Lens Assy. 142851 working

Orion Pel Thermo Temperature Controller ETD232-SA-A-UL

Tokyo Electron TVB3102 SI0 bbb P-8 3281-000014 Board

KLA-Tencor 710-680279-00 Optics Interface Board New

Hitachi I900SRT Prealigner Robot Assy. untested

Hitachi I900SRT Lens Assembly Working

Power-One HPF5A2B1A1P6-S549 2000W DC Power Supply

KLA-Tencor AIT1 Tropel 11.25X Anamorphic bbbescope

Olympus UMPlanFl 50X / 0.80 Microscope bbbbbbive

Olympus UMPlanFl 100x / 0.90 BD Microscope bbbbbbive

KLA-Tencor AIT2 UI Splitter Board 547247 Working

KLA-Tencor 285293 386065 AIT2 GPIO Board Working

KLA-Tencor AIT2 XP Datapackage Board 0048805-002

KLA-Tencor AIT2 4-Channel PWM Motor Drive Board 188859

bbb Unity 2 Laser Assembly MLXS-D12-670-3 Lot 2

KLA-Tencor AIT1 Ultrapointe Page Scanner Controller PCB

Olympus UMPlanFl 100x / 0.95 Microscope bbbbbbive

Varian Diode Ion Pump 812-0254001 Working

Ebara Vacuum Turbopump ET300W need rebuild

SemVision RadiSys Computer MP3600D/E/400W Working

Ebara Turbopump Controller ET600W working PWM-20M

Sarnoff Corp. Camera CCD Vulcan Power Supply working

Kensington 25-4021-0015-01 Robot Controller 0190-06994

Leybold Turbotronik NT 20 Turbopump Controller working

Cybor Photo Resist Pump Control Module 506A2 working

KLA-Tencor SLX Relay/M5 Assembly 760-333019-001 Used

KLA-Tencor UV1XX0 Camera 0049115-000 Used

GPI General Precision Remote Panel RCD-1-4 I working

bbb ACT 8 12 Ghost Repeater Board HTE-TGR-A-11 working

Yaskawa bbb ACT 8 12 Servopack Servo Drive CACR-02-TE1K

bbb ACT 12 Board Conn. BLT/L PCB CT2981-600534-11

bbb ACT 8/12 Spinner I/O Board TKB2111 CPC-G223B01B-13

bbb ACT 8 12 PRB Panel I/O Board TAB1100 HTE-PRB-A-11

ESA NSK bbb ACT 12 Y Servo Drive CT2980-194841-11

ESA NSK bbb ACT 12 Theta Servo Drive ESA-J2006AF3-20

NSK bbb ACT 12 Theta Servo Drive CT2980-194874-11

bbb ACT 8 12 WEE I/O Board CT2980-091523-12 working

bbb ACT 12 SMC Thermo-Con Power Supply INR-244-230W

Pro-face HTK270-M Graphic Panel Tokyo Electron ACT 8 12

bbb 90S PDPI Photo Resist Catch Cup 200mm working

Nikon Optistation 3 bbbbbbive Lens BD Plan 20/0.4 210/0

KLA-Tencor 5300 AMS Assy 720-480036-002 Used, Untested

Nikon Optistation 3 CCCNT PCB 2S700-583-1

Nikon Optistation 3 MCR PCB 2S700-598

Nikon Optistation 3 TCCNT PCB 2S700-582-1

Nikon Optistation 3 SCNT PCB 2S700-581

Nikon Optistation 3 SCPU PCB 2S700-580-1

Nikon Optistation 3 MST PCB 2S700-555

Cybor Photo Resist Pump 5116C E with Mace Filter

Kollmorgen Goldline Rotary D101A-93-1215-001 untested

CTI-Cryogenics On-Board 3PH Motor Control 8124063G001

CTI-Cryogenics On-Board 3PH Motor Control 8124063G001

Kollmorgen Goldline Rotary D101A-93-1215-001 untested

Kensington Wafer Prealigner 25-3600-0300-03 untested

CTI-Cryogenics On-Board IS Controller 0500-00293 new

Sarnoff Corp. Camera CCD Power Supply Vulcan working

Zibbb Pattern Memory Board 950000054-001 working

Shimadzu TMP Cables 262-78189-51V1, 262-76411-51V2 New

Sarnoff Corp. Camera Controller Vulcan 1203971A working

Integrix Serial Board RD20HIC-HFC working

Screen Photo Resist pump PDS-105G-KV5C-A1 New

Sarnoff Corp. Camera Controller Vulcan 205921 working

ICP Electronics, Inc. Controller RACK-3030W/ACE-932A

KLA-Tencor Programmable Assembly 20009243A

KLA-Tencor 3XX-1 Image Rotator 760-321260-000 New

KLA-Tencor SP1 TBI Ellipsoid Collector 393541 New

KLA-Tencor NGP, SP2 Mask Changer Assembly 0053106-002

Material Research Corp Chamber Assy. D126811 A untested

KLA-Tencor AIT UV Laser Servo Detector 0099586-001 Used

ASML WIPA PPCA PCB Board 4022.471.78842 new

ASML 8-CH Power Amp PCB Board 4022.471.75691 new

Kismet Engineering KES-A 133 Planarizer

VAT Rectangular Door L-VAT DN 0750X-UE24-AAL5

ACT 8 Panasonic X Driver MSD3AZA1Y04 Working

bbb P-8 Wafer Prober Tray Loader Assembly working

Yaskawa Servo Drive SGDS-20AY75AY577 New 0190-30699

Nikon Camera Controller NVCEX-X4B1 working 4S008-414

SMC Rotary Cylinder Table MSQB10A new lot 0520-00033

Endura Lower Shield Assy. A-1402 new

AMAT XR80 Beamline Interlock Box 0090-91409 ITL working

IBM Front End Server xSeries 306 P4 3.0GHz 160gb new
Part No: 0090-04331

CPS TFE DC Power Supply 1966-00-0021 untested

Kensington 300mm Prealigner 25-3600-0300-02 untested

Mitsubishi AC Servo Motor HC-UWS152-S5 new 1080-00268

Quadrant Tech Quantum Magnet Assy VAR1956 Need Cleaning

Nikon NSR-S202A 4S018-057-4 PCB WL3SEN2 working

Nikon NSR-S202A 4S007-691-1 PCB WL3MOT2 working

Nikon NSR Series Controller IU-IOP2 working 4S064-631-1

KLA-Tencor M1 3XX-I Active Mirror 760-321700-001 New

bbb Tokyo Electron P8 Wafer Prober 200mm Stage working

KLA-Tencor F5 Flipper Actuator 52-0837 New

Freelin-Wade Fre-Thane 95A Tubing 1C-157-28 lot of 67

Komatsu Heat Exchanger KIS-0007-3

Applied Materials AMAT 650247 Lid Flange 0010-15222

Tokyo Electron Door Assy Pod SP-3 A121109

Neslab Endocal Refrigerated Bath RTE-110 working

Nikon Optistation Camera Coupling, Sort 81254 Working

Gunda/Neugart Motor & Gear Assembly PAC11.1.1SBCA New

Nikon NSR Series IU-X2A PCB 4S007-900-IK

Hitachi S-9300 SEM High Voltage Control Unit 20-05

Hitachi S-9300 SEM High Voltage Control Unit 32-01

L-Com D-Sub Cable Male/Male CSMN37MM-25 new lot

KLA-Tencor SLX UCO, COL Assembly 760-333305-000 Used

KLA-Tencor 3XX-I Refl. Light Detect.760-321399-000 Used

KLA-Tencor Comet AMS Low Mag 0104920-003 Refurbished

KLA-Tencor 3XX-Blue Cas Replacement 780-372161-000 Used

Varian GPI 6U PCB 4428900 Working

Nikon RFP 2% Concave 4G680-526AN New

Panasonics MF212 Camera Control Assy. bbb P-8 Prober

PBI Dansensor Gas Analyzer OXI-3.1 working

PBI Dansensor Gas Analyzer OXI-3.1 0-2% O2 working

Glassman AMAT XR80 DC Power Supply PS/ER06N25.0YZ4

Hitachi M712 Chamber Plate Assy. 4Z001T-11111 working

Hitachi M712 Chamber Plate Assy. 45001T-93812 working

Entegris 6500 15ml NT Integrated Flow Controller new

bbb P-8 Camera Control Assy. Panasonic MF212 W/ KLA PCB

InUSA Afx 5-Channel Ozone Controller RB5L working

Tokyo Electron bbb Cathode Adapter Assembly A124566

bbb Tokyo Electron 100mm Wafer Holder Assembly A131536

Entegris NT Flow Controller 6500-T5-F03-B06-C-P2-U1

LAM Watlow Anafaze Controller 778-091827-011 New

LAM Research Ceramic Platen 81-42348R Repaired

LAM Research Rotomotion Rotor A032-B024 Working

LAM Research Load Lock Door 853-006613R001 Refurbshd

8" Anode Chamber Diffuser 15-139966-00 new

Daihen DC Power Supply DCP-208-24 working 0190-36252

Hitachi 3-830027 200mm Reflector New Lot of 3

Hitachi E-207, E-257 Spray Nozzle Assy Qty 4 + Nozzles

Entegris Flow Controller Lot 6500-T6-F03-H04-M-P2-U1

LAM Hine Design Interface Board PCB 00778-701 New

Novellus Sioc Bath Module 02-168108-00 working

Entegris NT Integrated Flow Controller 6500 Series new

Axsys Laser Tracking Autofocus Model 8000 working

STEC SV-P1000 MFC Mass Flow Controller Lot of 5

Hitachi Flexible Vacuum Bellows Flange 2-813358-A New

Cybor Photo Resist Pump 5016C

bbb Tokyo Electron ECC2 Controller 3D80-000766-V1

Rorze 200mm Indexer Elevator RE120-002-001

Lam Research Thin Edge Focus Ring 716-011638-008

bbb Tokyo Electron Clean Track Unit Controller UC-510

Vacuum General DynaMass Mass Flow Controller DM-1301

ENI LPG-6A RF Generator LPG-6T 600W not working

Nikon Fostec Macro Slit Illuminator 80951 Working

Merlin Gerin Circuit Breaker 60261 Lot of 10 Working

Verteq SRD Controller Module 1800-6 working

Omron RFID Reader/Writer V640-HAM12 w/ V640-HS62 New

Entegris Flow Controller 6500-T4-F02-XXX-M-P2-U1-M37

Direct Logic H4-EBC 405EBC Controller D4-64ND2 D4-64TD1

National Instruments DAQ Board PCI-MIO-16E-4 PCI-6040E

CSF Technology Analog Process Control PCB 885-11-000

Oerlikon USA CPL Sensor 10284242 for Unaxis 300mm New

Qpeak Laser MPL Control Unit with Cables working

Time-Bandbbbbb Laser Diode Controller GE-100-1047-YLF

Mattson Thermal Products Oven Top Plate 7310-2958-04

Allen-Bradley Circuit Breaker 140U-J2 140U-J-GFP1503

Allen-Bradley Circuit Breaker 140U-J2 140U-J-GFP1503

Ebbb Digital Servo Amplifier DSB2P123-111E-000H Working

LAM 4420 Etcher Inner Gate Valve Drive 853-012200-002

Recif SA Wafer Sorter/Handler Computer ECUA00002 AS-IS

LAM 4420 Etcher Inner Door Actuator 853-012200-R002

Olympus Microscope bbbbbbive MDPlan 150 working

bbb ACT 8 TRS Cover Assy CT2985-404501-13 Working

Machine Technology Processor II PCB 2217605133 Working

Cymer Signal Conditioner Board 05-05031-15V Working

Delta Design Power Distribution Board 1686300-501 Rev C

Delta Design Power Distribution Board 1686300-501 Rev D

Entegris NT Flow Controller 6500-T6-F03-B06-C-P2-U1 new

Entegris NT Flow Controller 6500-T2-F02-B06-C-P2-U1 new

BioRad Quaestor Q7 bbbbbbive Lens 140/0.95 working

BioRad Quaestor Q7 Vacuum Controller Y5602000

KLA-Tencor CRS1010 SDP Frame Grabber PCB 000483

MRC Eclipse Star Relay Board 884-55-000

SensArray Process Probe Test Wafer 150mm 1501 Series

Leica 567052 PL Fluotar 32X / 0.75 bbbbbbive New

Hitachi I900SRT Camera Assembly Working

Hitachi I900SRT Lens Assembly Working

Matrox Corona II AIT2 PCI Frame Grabber 7030 0103

bbb Unity 2 Control Panel 1D81-000129 Working

NexCom KLA-Tencor AIT 1 PIII Board Computer PEAK6320A

KLA-Tencor HRP-340 Techsol Filter Unit 009-99FFU

Praxair 20" CMP Polishing Pads X20-80-80-53 new lot

Ergotron Mobile WorkStand Computer Station Kit new

KLA-Tencor SLX Reflected Light Assy 760-333022-000 Used

KLA-Tencor 5200 Dual Aperture Assy 720-470028-00 Used

Ebara Hoffman Vacuum Pump Power Supply 213681 working

Cutler-Hammer Ground Fault Protector ELJBN3150W new

Daihen DC Power Supply DCP-208-24 working 0190-36252

VAT MONOVAT Slit Valve 0340X-CA24-BFP1 working

VAT MONOVAT Slit Valve 0340X-CA24-BFL1 working

KLA-Tencor Mark II Right Top Plate 740-680567-000 New

Agilent ASML I/O Card PCB Z4206A working

ASML ATWI SS2 MKII Carrier Conn PCA 4022.471.84321 new

Luxtron Accufiber Model 10 Optical Fiber Temp. Control

bbb P-8 TVB3401-1/GPIB Board 3281-000019-15 working

KLA-Tencor SM300 Monochromator 58-0834

KLA-Tencor Nikon Box Door Opener 750-059211-001 New

KLA-Tencor Dalton TDI Inspection Camera 750-061019-00

KLA-Tencor MGF2 Analyzer Assembly 0045755-000

AMAT Applied Materials Network Module 9090-00491

Kollmorgen 2-Channel Magnet Driver MAG02-25021-001

Mykrolis 300mm Copper Anode 35200-29-000-400 0190-02388

VAT Rectangular Door Valve 0750X-UE24-ABR1 3870-00154

Nikon Optistation S/AF PCB 2S020-061 Working

Nikon RFP 1W bbbb 4G680-674AN New

bbb 90S EChain Harness Shtl 99-48555-01 Working

Nikon Optistation MCR DRV PCB 2S003-056 Working

Horiba STEC MFC SEC-4600M Mass Flow Controller rebuilt

STEC Mass Flow Controller SEC-4600M N2, 100SLMM Calbrtd

Ushio Bulb JCV120V-1000WBH/H 1003198 Lot of 9 New

AMAT Stepper1 PCB 0100-00003 Working

Nikon Optistation MCR-CNT Board 4S005-341-2 Working

Nikon NSR Series LIA-I/F2 PCB 4S007-479AN New

ASML Stepper UVHR 3 In. Mirror 8541806001

bbb Power Supply PCB 99-80295-01 & Flow Switch Volt Reg

Electroglas Horizon 4085X Interface PCB Assembly

Hitachi S-9300 SEM MHVC PCB 560-5544

Cutler-Hammer GDB 14K Circuit Breaker Lot of 12 Working

bbb 90S Pneumatic PCB BES-4235

IBM Srs 306 Front End Server 8836PCG working 0190-23318

KLA-Tencor O/S Actuator Elevator 720-01488-003 Untested

Comet Variable Capacitor CV05C-1000M/5 working 1000pF

Nikon Optistation Stage Interface PCB 2S003-038 Working

ASML bbbL860 Hamamatsu PMT Detector 8790084002

Nikon Optistation MCR Control PCB 2S014-038

AMAT Quantum Leap III Vacuum Interlock Card 0100-90881

AMAT Quantum Leap III daq MkII Type B 0090-90966

AMAT Quantum Leap III Contacter Drive 0100-90385

bbb Unity 2 Control Board PCB 1D81-000098-C2 working

Hitachi ANS1 Control PCB HT96611A working

Hitachi PI01 Control PCB HT94219A working

Hitachi PM1 Control PCB HT94218A working

NSK Motor Driver EMLZ10LF-05 Working

bbb Unity 2 Control Card 1D81-000098-B4 working

AMAT XR80 24V Power Supply Board 0100-90025 working

bbb Tokyo Electron Optical Module M8C30918-1

bbb Unity 2 Control PCB 1D81-000-009219 working

bbb Unity 2 Control Card 1D81-000133 working

bbb Tokyo Electron Control Card 1D81-000099-C4 working

Hitachi Interface Card PCB I0TC-02N working

Hitachi Interface PCB VMPM-02N working

Nor-Cal Inbbblisys IQ Series Throttle Valve 030626-1

Vicor MegaPAC DC Power Supply MP4-75532 New 1140-00215

Semitool 240T0016BAB-01 with 24V Hathaway-Emoteq Motor

Integrated Dynamics 6 DOF Controller 172.100 working

Varian E1000 High Voltage Module E11029161 working

AMAT ASA5 Control Board Module 0090-A0160 0100-A0162

AMAT XR80 Power Supply daq Mk II type H 9090-00093

bbb ACT 12 ACT 8 CSS #01 Board PCB CT2981-600652-W1

bbb ACT 12 ACT 8 Analog Bd (PV42A4) PCB CTAPC-T0010A-12

LAM Research Transbbbber 853-002751-001 Working

bbb 90S Manifold With Damper 99-54060-01 New

CAE Ultrasonics Generator MSX-24T-208V-CLM working

Lowara Centrifugal Pump SV206N07TSP.75KW working

Hitachi E-247 Spray Nozzle Assembly Lot of 4 New

GaSonics 200mm Lamp Tray Assembly A95-205-01

Pfeiffer Vacuum Turbopump Power Supply TPS 201

Anelva Bellows Cylinder Lot 80x60 ST A12-00776-05A

bbb 90S Control Panel 85-4809401 Sharp LCD 1Q10D368

Neslab HX-75 Recirculating Chiller HX+75A not working

Ebara Turbopump Controller 305W-A untested

A.C.T. Kern 15" Display Monitor LI 1503N-CNDV working

Particle Measure Sys. FiberVac Measuring Module working

AE RFPP LF-5 RF Gen. 7520572050 not working 0920-01014

AceCo Precision 200mm Susceptor S33-1641-11

Versatest V1000 Buffer Memory ECR Board 8mb PCB

KLA-Tencor CRS 2000 Optical Module 3008528 working

SMC Fluorpolymer Regulator SRF30-S11N New

SMC E/P Regulator ITV2011-31N3N4-X95 Lot of 4 Working

FixMap Mapping Kit 012854-010-26 FixMap MC02, MS 03 New

bbb Tokyo Electron Focus Ring 3D10-201599-V1

Millipore Power Supply INGEN2PSI

NSK Motor Controller EE0408C05-25

Carlo Gavazzi RJ1P23V50E Contactor Lot of 8 Working

Grundfos Vertical Pump CRN8-8-7 A-P-G-BUBV working

Vexta K0043 Stepper Motor & Driver 1080-01190 New

3 Turck DeviceNet Hubs SNNE-04A-0007 0190-15402 New

Kawasaki Robot Cable 50979-2160L02 new 0190-05098

Thermo Electron Microanalysis System 470-267700

bbb 90S Multiple Sensor Motherboard 99-80271-01

AMAT XR80 daq MKII type H Power Supply 9090-00314 Rev A

Hitachi S-9300 SEM 12V 4.2A Power Supply S8PS-05012CD

HiTek Power DC Power Supply A1023140 untestd 1140-90164

Novellus Ring Lifter Assy. Pair 02-169785-00 working

Kokusai Electric CX-1000 Tube Controller CX1102A

AceCo 200mm Susceptor S33-1754-14

Astex MKS AGL WPRSP Microwave W/Plasma D12691-R

AMAT Pumping Plate TEOS 0020-10764 new

SMC Pneumatic Cylinder US13394 new 3020-00077

Hitachi S-9300 DSP240SP Board V-DSP240/SP working

Melec C-820A Hitachi S-9300 Control Board working

Anorad VME Axis Board working

LAM 4420 Etcher Solenoid Tray Assembly 853-013610-001

KLA-Tencor AIT 2 MMD Power Unit 0038216-001 working

AMAT Transfer Interlock Card 0090-00354 Working

AMAT Buffer Interlock Card 0090-00353 Working

Digital Power Cosel Power Supply Lot MMB75U, PAA100F-24

MEI Motion Engineering Control Board A036-0004 working

AMAT Analog bbbbb Board 0100-09054 working

Hitachi S-9300 SEM Fuji Seiki Throttle Valve Assembly

bbb 300mm Shower Spacer 3M10-311737-12 new

Ntron Model 3100 Oxygen Analyzer 3100-RSM-MFS-Left

Varian TI PCB 80xp Ion Implanter Board TM990/310

bbb Procell NSK Type EXD1A30A01-01 300W Motor Driver

bbb Procell NSK Type EXD1A80A04-01 800W Motor Driver

bbb Procell Yokogawa DD Servo Actuator Model SR1008B62

AMAT BS454 O-Ring Viton 3700-90024 Lot of 29 New

16 Parker O-Rings 14.975 X .220 V884 3700-02814 New

AMAT Centura ASTeX Wave Guide D13604 Working

National Display Systems 15" Monitor CM-X15KLA/T

LAM 4420 Etcher DIP/High Frequency PCB 810-017003-004

CTI-Cryogenics Controller 8188002G001 working

Electroglas Horizon 4085X Cosmicar/Pentax 16mm TV Lens

Equipe PRI Transfer Robot ABM-407B-1-S-CE-S293 working

Brooks Automation Robot ABM-405-1-S-CE-S293 working

AE Apex 3513 RF Generator 3.5kW refurbished 0920-00005

AE HFV 8000 5kW RF Generator 3155083-109B working

PRI Transfer Robot ATM-104-1 working

Equipe PRI Robot Controller ESC-218BT-FWS working

Yaskawa Robot Controller PAC/ELC2 SRC-II 006 working

Lam Advanced Energy 4MHz Bias Match 660-095275-005 New

Brooks PRI 300mm Prealigner PRE-300B-CE working

Ebara A10S Vacuum Dry Pump tested working

Equipe PRI Automation 300mm Prealigner PRE-301B

AE Navigator RF Match 3155126-020A working 0190-19024

Daihen RF Match RMN-50N4 working 0190-19174

AE HFV 8000 RF Generator 3155083-111A 5kW 0190-09841

Yaskawa Robot Controller XU-CN1110A working

Leybold Turbopump Controller MAG.DRIVE digital new

Sumitomo Electric Industries, Ltd. ADE1 H Control Unit

MECS Corp. UTV-2500H Robot Controller CS-7000 working

Nikon 4S587-171-1 Linear Motor Driver X32D1-0001

Newport Kensington Robot 35-3700-1425-18 rfb 0190-22248

Newport Kensington Robot 15-3701-1425-25 refurbished

CTI-Cryogenics On-Board P300 Cryopump 0190-12086

Nikon NSR 200mm Wafer Prealigner Z-8XB-D4915 working

Yaskawa Robot Controller XU-CM7001 working

AE VHF 2060 RF Generator 3150852-005A 0190-14416

Asyst Transfer Robot UTX-F5500-16 working 0190-14191

Atto Purex Ar Gas Purifier AP-RM-050 working

LAM Lower Electrode Match Box 853-440656R001 Refurbshd

Shimadzu Vacuum Turbopump TMP 280-L new

Brooks Automation Transfer Robot ABM-407B working

Equipe PRI Transfer Robot ABM-407B-1-S-CE-S293 working

Brooks Automation Robot ABM-405-1-S-CE-S293 working

AE Apex 3513 RF Generator 3.5kW refurbished 0920-00005

AE HFV 8000 5kW RF Generator 3155083-109B working

PRI Transfer Robot ATM-104-1 working

Equipe PRI Robot Controller ESC-218BT-FWS working

Yaskawa Robot Controller PAC/ELC2 SRC-II 006 working

Lam Advanced Energy 4MHz Bias Match 660-095275-005 New

Brooks PRI 300mm Prealigner PRE-300B-CE working

Ebara A10S Vacuum Dry Pump tested working

Equipe PRI Automation 300mm Prealigner PRE-301B

AE Navigator RF Match 3155126-020A working 0190-19024

Daihen RF Match RMN-50N4 working 0190-19174

AE HFV 8000 RF Generator 3155083-111A 5kW 0190-09841

Yaskawa Robot Controller XU-CN1110A working

Leybold Turbopump Controller MAG.DRIVE digital new

Sumitomo Electric Industries, Ltd. ADE1 H Control Unit

MECS Corp. UTV-2500H Robot Controller CS-7000 working

Nikon 4S587-171-1 Linear Motor Driver X32D1-0001

Newport Kensington Robot 35-3700-1425-18 rfb 0190-22248

Newport Kensington Robot 15-3701-1425-25 refurbished

CTI-Cryogenics On-Board P300 Cryopump 0190-12086

Nikon NSR 200mm Wafer Prealigner Z-8XB-D4915 working

Brooks 200mm Wafer Aculigner Prealigner 002-7391-17
KLA-Tencor 400-18893-000

RF Services Inc. RF Match RFS 5005 icp 5kW working

Kawasaki Wafer Prealigner 3NS004S-C001 working
KLA-Tencor 45-143224

RF Services Inc. RF Match RFS 5005, 5kW icp working

Brooks 300mm Wafer Aculigner Prealigner 002-7391-22
KLA-Tencor 750-614710-000

SMC Heat Exchanger INR-498-P002 New 0190-18418

SMC Heat Exchanger INR-498-P002 New 0190-18418

bbb ACT 12 IRA Driver Assy CT2987-416031-W4 working

bbb ACT 8 IRA Driver Assy CT2987-406077-15 working

AE Navigator RF Match 3155169-007A working

Affinity Lydall Chiller PWD-020K-CE70CBD2 0190-01490

Equipe PRI Transfer Robot ABM-507B-2-S-CE-S293 working

Seiko Seiki Turbopump Controller STP-A2202P new

Brooks PRI Transfer Robot WTM-409B-2-S working

Komatsu AMAT Enrgy Saving Chller AK-1000 new 3380-00033

AE Advanced Energy RFPP RF Match 7621993020 working
Lam 660-095275-003

AE Advanced Energy 2500W RF Match 3150275-007G working
Lam 660-095275-005

AE Advanced Energy Navigator 1013 RF Match 3155126-017A

Equipe PRI 300mm Wafer Prealigner PRE-300B-CE working

AE Pinnacle 6kW 3152412-234 DC Power Supply 0190-08604

AE Navigator RF Match 3155132-001D working 0190-15168

AE Navigator RF Match 3155168-002E refurbished

HiTek Power DC Supply OL330/253/07 new 0090-91806

MRC Eclipse Star RF High Voltage Source A118144

OneAC bbb 90 Power Conditioner CLD31750SPL

PRI Automation Prealigner PRE-301B-CG-CE-S2 working

Brooks PRI Wafer Robot WTM511-2-FWS02-V1 working

SELA MC 100 Micro Cleavage System

Brooks PRI Automation Robot WTM-511-2-FWS02 working

AE Pinnacle 6kW 3152412-219C DC Power Supply 0190-25716

Hitachi S-9300 SEM Wafer Prealigner Module working

AE Apex 3000/13 RF Generator 3kW 13.56MHz working

JDS Uniphase Laser & Power 2213-75TSLKTB 2113-75SLT

PolyFlow 300mm Polymide Parts Clean Bench

Matrix Industries Chemical Fume Hood I99HIT/H-ASSY-2

Matrix Industries Chem. Bath/Fume Hood I98 BD/H-ASSY-2

Asyst Hitachi I900SRT Prealigner 0FH3000-001 working

KLA-Tencor AIT1 JDS Uniphase Laser 2213-75TSLKTB

KLA-Tencor AIT 2 3 Front Collector Assy. 562610

bbb Unity 2 Yaskawa PAC/ELC2 SRC II Robot Controller

KLA-Tencor AIT 1 JDS Uniphase Laser 2214-20SLUP

Hitachi M-712E Loader Interface Transfer Robot Working

Hitachi M-712E 200mm Wafer Prealigner working

Hitachi I900SRT Wafer Inspection Transfer Robot

Hitachi I900SRT Wafer Inspection Transfer Robot Working

Trazar Corp. RF Match RFDS-1 working

Kawasaki 200mm Prealigner 3NS003S-C201 new 0190-17388

Alcabbb IPUP A100L Vacuum Pump working

ENI DCG-200 DCG-200Z DC Plasma Supply working

ENI DCG-200 DC Plasma Generator DCG-200Z 0190-22953

Brooks Genus Right Vacuum Load Lock 001-9300-54

Equipe Transfer Robot ABM405-1-S-CE working

bbb ACT 12 Temp Control REX-B870-CS1B CT2986-430279-W1

Equipe PRI Automation 300mm Prealigner PRE-300B-CE

Asyst SMIF-300FL 300mm Load Port FA,300FL,S2.1 W/E84

Edwards Dry Vacuum Pump QDP40 Rebuilt Working

AE Pinnacle 20KW 3152412-233A working 0190-08122

Nova NovaScan 3000 Computer 310-40000-00 working

HiTek Power OL6000/164/01 Power Supply 0090-91319 new

Alcabbb A100L IPUP Dry Vacuum Pump working

Nikon NSR S202 Wafer Mask Reticle Indexer working

Kensington 300mm Prealigner 15-3600-0300-01, 0190-16360

CTI-Cryogenics On-Board P300 Cryopump 0190-27355

ENI DPGS-10 DC Generator DPGS-10-04 rebuilt 0190-36274

ENI DPGD-10 DP Generator 500V rebuilt AMAT 0190-36275

AE Advanced Energy RF Match Network 3155031-014D

Kawasaki Transfer Robot NS410B-A00 working 0190-06222

Kawasaki Transfer Robot NS410T-A002 working 0190-05090

Brooks Transfer Robot ABM-405-1-S-CE-S293 0520-00021

Equipe PRI Transfer Robot ABM-405-1-CE-S293 working

Equipe PRI Robot Controller ABM-405-1-S-CE-S293 working

SMC Thermo Chiller INR-498-012C working

Yaskawa Transfer Robot XU-RCM5020 working

Yaskawa Transfer Robot XU-RCM5010 working

Newport Kensington Robot 15-3701-1425-25 0190-19124

Alcabbb IPUP Vacuum Pump ADP100L working

Yaskawa Nikon NSR S202 Robot 4K171-841-2 working

Berkeley Process 300mm Prealigner 100-000-871-02 new
9010-01808

CPS High Voltage Power Supply 1966-00-0021 working

AE HFV 8000 RF Generator 3155083-109B 5kW 0190-01873

AE HFV 8000 RF Generator 3155083-109A 5kW 0190-01873

AE HFV 8000 8kW RF Generator 3155083-180A 0920-01122

Brooks Wafer Transfer Robot ABM-405 Series working

Brooks Wafer Transfer Robot ABM-405 Series working

Kawasaki 300mm Wafer Prealigner 3NS003S-C201 0190-17388

PRI Automation Robot ABM-405-1-S-CE-S293 working

Equipe PRI Automation 300mm Prealigner PRE-301B-CE

AE RFPP RF25M 2500W RF Generator 3150048-000

LAM Research Electrical Control Panel 685-017705-027

CTI-Cryogenics Retrofast 8 Cryopump 8112866G001 Working

CTI-Cryogenics Retrofast 8 Cryopump 8112866G001 Working

Nor Cal Pendulum Valve 85157800-1 New 3870-04611

Comdel CLX-2500 350kHz RF Gen FO1336R2 wrkng 0190-02702

Comdel CLX-2500 RF Generator FP1336R2 workng 0190-02702

MECS Transfer Robot Track YC505 working

Nikon 4S587-288 Yaskawa Controller XU-DL1910

Comdel CLX-2500 350 KHZ PN FP1335R2 RF Power Supply

AE Remote Plasma Gen. 3151801-004A working 0190-13025

Comdel CLX-2500 350kHz-LF 2500W RF Generator PP1341

Newport Kensington 300mm Wafer Robot 15-3701-1425-25

Varian Turbo-V 250 Vacuum Turbopump 9699007 working

Comdel CLX-2500 LF RF Gen 2500W FP1335R4 0190-01370

Varian Turbo-V TV 250 Turbopump 9699007 working

Comdel CLX-2500 350KHz-LF RF Gen. FP1336R2 0190-02702

Comdel CLX-2500 350KHz-LF RF Generator 2500W FP1336R3

Comdel CLX-2500 LF Generator 2500W 350KHz FP1336R6

Seiko Seiki Vacuum Turbopump STP-301H working

Varian TV 301 Vacuum Turbopump 7698918 working

Varian TV 250 Macro Torr Turbopump 9699007S024 working

Osaka Vacuum Turbopump TH542 untested 3620-01353

Jenoptik Infab Fixload 25 TM 300mm Load Port 5075-98008

ENI OEM-12B3 RF Generator 1250W 0190-76028 working

ENI OEM-12B RF Generator 1250W 0190-76048 working

Ebara ET300WS Vacuum Turbopump 3620-01537 working

Ebara ET300WS-A Vacuum Turbopump 3620-01419 working

Mitsubishi CP2000A Thermal Printer CP2000UA working

Edwards iL70 Vacuum Pump LCDP 70 QMB250 untested

AMAT Mirra Mesa FABS-202 Rorze 1VRR8150-W01-006 Working

bbb Tokyo Electron P-8 PCB Card Cage W/ Several PCBs

AE RFPP LF-10WC RF Generator 3150076-002F working 1kW

Hitachi 1-822328 Lower Coil ZPS90 New

MKS ASTeX AGL 48kV Power Spply AG1111 refurb 0190-36376

ASML bbb Microscan II Wafer Robot 879-0427-001

Asyst SMIF-300FL Front-Load Series 3-EP load port 300mm

Verity Spectrograph SD1024DL, 1005309AT

AMAT P5000 RF Match 13.56MHz 0010-30094 working

VAT Pendulum Valve 65050-PH52-AKK1 new 3870-03445

Nova Control Unit 510-41000-00 Rev. B working

LAM Research 8 Inch Ceramic Ring New

LAM Research Electrical Control Panel 685-017705-002

LAM Research bbbbb Bulkhead System 12-8892-033 New

Daihen RF Auto Matcher RMN-50P-V new

ENI OEM-12B RF Generator OEM-12B-07 working 0226-00733

LAM Research Quartz Shield, Quad EP 716-052017-001 New

LAM Research Lower Match Box 853-015001R003 Refrbshd

AMAT XR80 Implanter Scan Drive 0030-90107

AMAT XR80 Implanter Motor Assy. working

Shimadzu Vacuum Turbopump TMP 2003-LM3 not working

Nikon NSR Stepper Transfer Robot 4K177-955-1 working

KLA-Tencor Quantox 64000 Cooling Module working

Lam Research RF Interface 853-491836-002-A-262C Working

Leybold Vaccum Turbopump Turbovac 1000 C 3620-00115

Leybold Vacuum Turbopump Turbovac 1000 C 3620-00115

Adixen Vacuum Turbopump Controller ACT 1300M 3620-00527

Vistec Laser Module 020-654.037-000 working

Nikon NSR Series Robot Interface Unit Z-X4P/12-A0702C

ENI OEM-10B RF Generator OEM-10B-8 working

Nikon NSR Series AF-I/F-CTLX24 PCB 4S080-677-5

Alcabbb ACT 1300M 1600M Turbopump Control 3620-00273

Comdel CX-200S CXV-200 V-Quad RF Generator working

Comdel CX-200S V-Quad RF Generator CXV-200 working

Cambridge Fluid Systems Gas Panel 0010-00888 working

Nikon NSR Stepper Series Transfer Robot working

Yaskawa Nikon NSR Robot XU-RCM5010 working

ENI OEM-12B3 3 Phase RF Gen. 1250W working 0190-76028

Nikon Convex Drive Filter BL05322AB refurb

Nikon Scanner MH RPF Concave 4G680-388BN new

Nikon MH RPF 7.2% Concave 4G680-431AN new

AMAT XR80 Implanter Gas Box (Single) 9010-00163ITL

Seiko Seiki Vacuum Turbopump Controller SCU-301 new

Ebara A07V Dry Vacuum Pump need rebuild

Ebara Dry Vacuum Pump A07V need rebuild

Ham-Let Valve HMS20 4VKC RND Lot of 60 3870-05019 New

Nikon Robot WDR1D244DNR, WDZ1D238R Working

KLA-Tencor Quantox 64000 Cooling Module working

KLA-Tencor AIT2 CPM Power Assembly 0218541-000

Ebara Cold Trap LX004 working HT020-8A

Quality Transbbbber Electronics 8559 115kVA 0190-26171

bbb Tokyo Electron Weldment S-2 Pod & Tube A115115 new

Edwards AMAT SCI Master Chemical System new

AMAT XR80 VCR Recorder System 9090-00227 ITL SR-L910EK

MRC Eclipse Star Chamber Source Assy. A122887

MRC Eclipse Star Plenum Chamber Assy.

AMAT XR80 Implanter Time Lapse Video Recorder System

FOCUS POWER UNIT Series 2500 Power Supply

Coherent Innova Heat Exchanger I328C untested

Edwards EH500 Vacuum Pump Blower rebuilt 3620-90109

KLA-Tencor 2139 Sony DXC-9000 Camera 740-772299-000 Kit

KLA-Tencor 2135 Vertical Illuminator 760-663761-001 new

KLA-Tencor 2135 to 2138 Upgrade Kit 780-696341-000

KLA-Tencor 780-689330-000 5.2 Autoloader XP UP Kit 2139

SSM Hitachi I900SRT Capacitance Meas. SX-UID500H CM H2

KLA-Tencor AIT 1 NEAT Stage & Controller 300mm working

Comdel CX-200S V-Quad RF Generator FP3013R1 working

bbb ACT 12 Panasonic Driver Assembly MSD261Y21 working

Nikon Optistation 3 Wafer Handler/Prealigner 202380

Asyst UTV-F2500HA Robot Controller CS-7100 new

Nova NovaScan 420 Measurement Unit working

Daihen RF Match AMN-30H 3000W working 0190-15323

AE RFPP LF-10 1kW RF Generator 3150076-002D working

AE LF-10WC RF Generator 3150076-002E working

ENI OEM-12B RF Gen 1250W OEM-12B-01 working 0190-70080

bbb Tokyo Electron Chiller Thermo Generator D204

Leybold Dryvac 100P Dry Vacuum Pump not working

bbb P-8 Wafer Prober PCB Card Cage working MVME 147-023

bbb P-8 Wafer Prober Axis Drive Assembly working

bbb P-8 Wafer Prober Axis Drive Assembly working

Berkeley Process BX-2 Controller 100-000-928 0190-00304

Fortrend 300mm Wafer Transfer System E-300 working

bbb Tokyo Electron Fujikin Gas Steam Cabinet

ENI OEM-12B RF Gen. 1250W OEM-12B-02 working 0190-70080

CKD Vacuum Exhaust Controller System ESC-W2 new

CAE Ultrasonic MACS-25-US MACS-25-US-(LRC)-BFGIKOB

Bay Voltex Heat Exchanger HRE-HT-30650-DI-RP-R2

Adixen Alcabbb Dry Vacuum Pump A100V 3620-00566

Motorola MVME 162FX Controller Card MVME 162-413

Arrow Electronics Power Supply Switcher VAD610669-A

AE RF Match Network 3155031-032A AMAT 0190-01402

AMAT Lambda EMI Power Supply ESS 80-185-2-1417 00481528

Lam Research 8 Turn RF Coil RD 853-330583-080 Working

ENI OEM-12A XL RF Generator 1250W working

Thermo Noran Cold End TN-90-520 working T2108-01-14

ENI OEM-12A XL 1250W RF Generator OEM-12AL-01 working

Nikon NSR Series Robot Stage

Lam Research Gap Assembly 4520/i 853-140050-001-A-6882

Thermo Neslab DI Max Chiller DEI Water to Water Cooler

CTI Cryogenics Cryo-Torr 8R Cryopump MRC Eclipse

KLA-Tencor 2138 Motorized 200mm Retrofit 780-666008-000

Thermo Noran CryoTiger Cold End 8815A-3SUS-SN

Lam OnTrak DSS200 Wafer Scrubber Chemical Delivery Assy

AMAT HiTek HiVolt Power Supply A1030050 9090-00397ITL

bbb Tokyo Electron 125mm Back Plane Heater A112489

Comdel CX-1250S RF Generator 1250W FP3212RC 0190-14206

Comdel CX-1250S RF Gen. FP3212R4 working 0190-01896

Comdel CX-1250S RF Gen. FP3209R1 working 0190-10584

Neslab HX 151g-CHX Chiller HX-151 Galden rebuilt

Comdel CX-1250S RF Generator 1250W FP3212RB 0190-09843

Nikon NSR Series FIAAF Process PCB

PRI Automation Gateway 1000 Load Port 300mm

Comdel CX-1250S RF Generator 0190-01183 working

HiTek Power Series 1000 Supply 9090-00397 ITL working

ASML A5162, A5163 A/D TRANS PCB 8518518005 Working

Nikon Metro 300mm INX/300 Dual Board Assy KAB11310/201K

ENI OEM-12 RF Generator 1250W OEM-12-11491 working

Asyst 300mm Wafer Prealigner EG-300B-012A working

bbb Tokyo Electron 125mm Back Plane Heater A121382

bbb Tokyo Electron 200mm Back Plane Heater A116007

ENI OEM-12A RF Generator 1250W working

Nikon Dual Board Assembly PCB 9700-5327-01 Working

Spectra-Physics Laser Power Supply 2560-60 working

Spectra-Physics 2560-56 Laser Power Supply rebuilt

ENI OEM-12A RF Generator 1250W OEM-12AL-21311 working

Tek-Temp Rack Mount Chiller RK-19 1600 BTU working

ENI OEM-12 Solid State RF Generator OEM-12L working

Yaskawa 8-Axis Motion Controller SMC 2000-8MR working

AMAT Quantum Leap III Beamline Shield Assy. 0040-94512

AMAT Quantum Leap III Shield Assembly

AE Navigator RF Match 3155132-001 untested 0920-00004

Metron 859 Dual Nozzle Assy. 814-01-013 new

Brooks Automation FixLoad 6 Load Port 002-7200-21

Ebara Vacuum Turbopump ET600WS need rebuild

Seiko Seiki TMP Controller STP SCU-H1000C 0190-01036

Yaskawa ServoPack Motor Driver 200V working SGDH-75AE

Electroglas Horizon 4085X Controller RMHM4 working

AE Ovation 35162 RF Generator 3150861-002B 0190-27049

AE Ovation 35162 RF Generator 3150861-002A 0190-27049

AE RF Match 3155031-037A not working 1110-00056

AE RF Match 3155031-037A untested 1110-00056

Metron 859 Multi Nozzle Assy. 814-01-014 new

Ebara Vacuum Turbopump ET600WS need rebuild

KLA-Tencor NEAT CRS1010 200mm Wafer Stage Controller

Ebara Vacuum Turbopump Controller 305W-A working

Shimadzu Vacuum Turbopump Controller EI-D3603M new

Nikon NSR Stepper Power Controller RRW-07 working

Nikon Stepper Controller Z-X3-B2405 working

Schumacher ChemGuard Bulk Chemical Delivery working

Hitachi 1-823775 PCB I/O Unit W/ 7 Boards Included New

Hitachi Upper Coil, ZPS90 New

Accu-Fab Systems Accubot Transfer Robot untested

Newport 300mm Wafer Robot 15-3701-1425-25 0190-19124CW

Newport 300mm Wafer Handling Robot 15-3702-1425-25

AMAT P5000 RF Match Network 0010-39750

AE Ovation 35162 RF Generator 3150861-002B 0190-16109

AMAT Spur Gearing Pressure Drive 3970-00039 working

Brooks 200mm Wafer Indexer 8600-65BAJ working

Lam Slit Valve, HTD, Viton, Anod E9600 796-098150-002

Lam Research Quartz Focus Ring 716-443234-001 New

ENI Genesis GHW-12Z RF Gen. 1250W 13.56MHz 0190-09832

ENI GHW-12Z RF Generator 1250W working 0190-25528

Direct Logic 405EBC PLC H4-EBC D4-64ND2 working

MECS UTX-5000 Robot Controller CS-7000 untested

MECS CS-7000 Robot Controller UTV-F2500H untested

ENI GHW-12Z Genesis RF Generator 1250W 0190-06988

ENI Polara-260A Pulsed Bipolar Power Supply 0190-01428

Toyo Electric Transbbbber TA-VR JEC-1310-2001 167KVA

Kollmorgen Goldline Rotary Drive D101B-93-1210-014

AMAT Heat Exchanger AMAT 0 not working 0010-70066

bbb Tokyo Electron 200mm Back Plane Heater A120430 new

AceCo Susceptor Assy. S33-1872-14 refurbished

Nikon NSR Series 200mm Prealigner working

Balzers MIU 101 Microwave Ignition Unit working

Taiko TDP-600 TDP600 Vacuum Pump untested

MECS Transfer Robot UTVF2500H untested

AMAT Centura Clamp Ring 0020-52627 refurbished

Shimadzu TMP Turbopump Cont EI-3203MD-A1 new 3620-01616

Kevex Semicron VME Assy. Card Cage 51301301 working

BOC Edwards TMS Temperature Management System Kit new
bbb TFN02-000-000002-1, TFN02-000-000001-1

ASTeX ETO SSD Driver Board ARX-X267 ABX-X348 rebuilt
Rebuilt by Retronix Semiconductor

Daihen ATM-10A Microwave Pwr Gen 1000W New 0190-36386

LAM 4420 bbbbb Arm & Driver 853-012123-001 Working

Brooks Dual Arm Transfer Robot TBS-407-CE untested

Ham-Let Hybrid Valve 2LNSRC-CM2-HB Lot of 44 3870-04809

Asyst 300mm Wafer Prealigner Model 5 working

Electroglas Horizon 4085X Visual Inspection Module

Electroglas Horizon 4085X RMHM4 Controller

Shimadzu Vacuum Turbopump TMP 280-L working

AMAT XR80 Chamber Vacuum Controller 9240-00449ITL 3X4E

AMAT XR80 Chamber Vacuum Controller 9240-00654ITL 3X4E

KLA-Tencor SLX MAC Box Assy. 770-336660-001 untested

AMAT 1 Applied Materials Heat Exchanger 0010-70027

Technical Instruments Photomask Measure System KMS 310

Ebara Vacuum Turbopump Controller ET600W new

Yaskawa Robot Controller XU-CN1112A untested 0190-23876

ENI GHW-12 RF Generator GHW-12Z 0190-06988 working

Daihen RF Match 2 13.56MHz RMN-50N4 working 0190-19174

Tokyo Electron ACT12 Interface Plate SMC INR-244-233B

bbb Fujikin Water Vapor Gen. Controller 4100-6002-01

bbb MKS Valve Pressure Control Kit 99-0100-014 new

Tokyo Electron Electrode Upper P DRM2 B31D10-201588-12

Nor-Cal MKS VAT Angle Valve lot used & new AIV AIVP

VAT Pendulum Valve 65048-PH52-AFS1 working

AMAT Rt Vertical Drive Unit Linear Actuator 0190-02458

AMAT Lft Vertical Drive Unit Linear Actuator 0190-02457

AE Ovation 35162 RF Generator 3150861-002D not working

ENI GHW-12 RF Generator GHW-12Z working 0190-25527

Tadin TadiGuard Type 006 Computer MRC Eclipse Star

Edwards Vacuum Pump Blower QMB250 rebuilt

KLA-Tencor AIT Lens Module 0034691-000 working

KLA-Tencor AIT2 Timing Generator Board 0053946-000 AA

KLA-Tencor AIT2 Wafer APS3.1 Board 0016302-000 AB

KLA-Tencor AIT1 Robot Distr. Assy. PCB 530352 Working

KLA-Tencor AIT1 Olympus Microscope Assembly Working

ENI DCG-200 20kW DC Power Supply DCG-200Z 0190-22954

ENI GHW-12 GHW-12Z Genesis RF Generator 0190-06988

Nikon Optistation 3 Microscope Assy W/ Accessories

Daikin Heat Exchanger Brine Chiller Unit TBR15BM

Kensington Transfer Robot 25-3700-1425-08 working

Kensington Transfer Robot 25-3700-1425-10 working

Kensington Transfer Robot 25-3700-1425-08 working

AE RF Bias Match 3155031-037A not working 1110-00056

AE RF Match 3155031-037A not working 1110-00056

Shimadzu 20M 90 Deg Turbopump Cable Set 262-78462-56V1

Velmex Unislide 200mm Rotary Table B4818TS working

ENI GHW-12Z Genesis RF Generator 1250W working

Adbbbb AMAT cPCI-3720 Single Board Computer 0190-19561

Yaskawa 8-Axis Motion Controller SMC 2000-8DM working

Equipe PRI Robot Controller SC8-41000-000 untested

Neslab HX 300 Chiller HX+300W/C 0190-77428

Yaskawa Nikon NSR S202 Controller DDCVR-CR1041 working

Nikon NSR S202 Controller 4K171-841-3 working

CPS TFE High Volt Power Supply 1966-00-0030 untested

Nikon NSR-202 Stepper Power Controller RR-002V1.3

Nikon NSR S202 DUV Scanner Scan Module working

Yaskawa Nikon NSR S202 Scanner Robot Elevator Module

Nikon NSR-S202A 200mm Wafer Handler Prealigner working

NovaScan 420 Nova Applied Materials AMAT NOVA 840

Daikin Heat Exchanger Brine Chiller Unit TBR15AMX

ASML 200mm Stepper DSC 7911 PCB 6810116041

bbb Tokyo Electron 100mm Back Plane Heater A112654

JEOL 7500 Heat Magenetic Chamber FEG WS-7555FEGH/IP

Lam Lower Electrode ASM Drive 853-250589R001 Refrbshd

AMAT Applied Materials RF Match 0010-09416

AMAT XR80 Implanter Wafer Arm Controller 9090-00099ITL

AMAT 13.56MHz RF Match 0010-09146 refurbished

AMAT XR80 Implant Power Distribution Unit 9090-00104ITL

AMAT XR80 Implant Power Distribution Unit 9090-00194ITL

bbb Tokyo Electron Throttle Valve Assembly A112990

bbb Tokyo Electron 100mm Back Plane Heater A121893

Lam Research DI Disp Core Assembly 122940800 New

ASML Stepper Library Brake 851-0618-003 working

BioRad Quaestor Q7 Controller W/ Tape/Disc Drive & PCBs

AE Advanced Energy PDX 1250 RF Generator 3156024-131A

AE RF Match Network 3155031-032A AMAT 0190-01402

AMAT Glassman High Volt Power Supply PS/KL030N100YUA

VAT Pneumatic Gate Valve 12148-PA44-AK01 working

Brooks CryoTiger Compressor T1101-01-000-14 working

VAT Pneumatic HV Gate Valve 14046-PE24-AAL1 new

V-Tex Vacuum Rollcam Slit Valve 200803-25-0013-02 new

Nikon NSR Series Interface Unit Z-X4P/12-A0702C

Tokyo Electron ACT 8 Chem Cab Buffer Tank Assembly

Varian Turbo-V 1800 Controller 9699461S002 E23000028

Leybold Varian Turbopump Controller NT 1000 112087001

Varian E1000 Chamber Interface 101927004 working

AMAT XR80 Implanter Monitor Interface 9090-00279ITL

AMAT XR80 Implanter Monitor Interface 9090-00661ITL

Hitachi M-511E THK 200mm Wafer Indexer

Hitachi M-511E 200mm Wafer Prealigner Rorze Driver

AMAT XR80 Implanter Monitor Interface 9090-00100ITL

BioRad Quaestor Q7 Microscope Turret With bbbbbbives

Thermo Electron DEI Water to Water Cooler Chiller

Microbar Trackmate Chemical Cabinet

Nikon Optistation 3 X Y Stage With Encoders

Varian TV 301 Vacuum Turbopump SQ424 working

VAT Pendulum Gate Valve Series 16.5 DN 63-320 w/ Heat

ASML A5401A/B Preamp PCB Assembly 859030003

AMAT XR80 Implanter Monitor Interface 9090-00986ITL

Novellus Concept II Animatics Controller CDP2407-2

VAT Lam Slit Valve, Mesc, HTD, 796-094060R001 Rfrbshd

Lam Slit Valve HTD Viton Anod E9600 796-098150-002 New

Tosoh AMAT Endura Upper Shield 805-377-SA-H3 new

Lam Research Focus Ring Hi-Cond ESC 8 716-330004-008

AMAT Endura Upper Shield 0021-17722 new

Tosoh AMAT Centura Upper Shield 805-377-TA-F-104 new

Tosoh AMAT Endura Upper Shield 805-377-TA new

Anorad Kevex XY Stage Micro Slides AN0-40054 working

Lam Research 490 Chlorine Orifice Ring lot

Aerotech KLA-Tencor Aerotech DR 500 Controller 510432

Aerotech KLA-Tencor AIT 2 DR 500 Controller 510432

Brooks Automation 200mm Prealigner Chamber 10600-10

SGB 90S Track LES E-Chain 99-45762-15 For bbb 860

AMAT Denka Thermal Field Emitter AMAT02 New 0190-A2070

AMAT Quantum Leap III Ion Source Bushing 0040-51795

AE Pinnacle DC Power Supply 3152412-264A 0190-25692

CTI-Cryogenics On-Board 8F Cryopump 8116081G005

CTI-Cryogenics On-Board P300 Cryopump 0190-27350

CTI-Cryogenics On-Board P300 Cryopump 8116250G002

CTI-Cryogenics On-Board P300 Cryopump 0190-12086

CTI-Cryogenics On-Board P300 Cryopump 8116250G002

CTI-Cryogenics On-Board P300 Cryopump 8116250G002

CTI-Cryogenics On-Board P300 Cryopump 8116250G001

CTI-Cryogenics On-Board 8F Cryopump 8116244G002

CTI-Cryogenics On-Board 8F Cryopump 8116244G001

VAT Pneumatic Gate Valve 12148-PA44-AK01/0004 working

AMAT CMP Polisher Kit 0240-06458 new

AE MDX-20K Master DC Power Supply 3152223-003D working

Lam 4420 Arm Housing Assy. 853-012100-003 working

AE MDX-20K DC Power Supply Master 3152223-003X working

Seiko Seiki Turbopump Controller STP-F2203C working

Lam 4420 Gear Driven RF Match 853-015130R-503

AE MDX Delta Master DC Power Supply 3152194-013W

AMAT Mirra Titan Head Profiler 300mm 0010-15282 rebuilt

AMAT Mirra 300mm Titan Head 0010-29563 rebuilt

ENI LPG-12A Solid State RF Generator 1200W working

AMAT Mirra 300mm Titan Head Profiler 0010-12823 rebuilt

AMAT Mirra Titan Head 300mm 0010-13057 rebuilt

AMAT Mirra Titan Head Profiler 300mm 0010-15278 rebuilt

AE MDX-20K Master Delta DC Power Supply 3152194-013Y

bbb Tokyo Electron AutoTune RF Network Assembly A118144

AE Pinnacle DC Power Supply 3152412-223C 0190-24495

Yaskawa Transfer Robot VS2B untested

Anton Paar Density Sensor DPRn 407 working

VAT Pneumatic Slit Valve L-VAT 0751-UA24-0002 new

VAT L-VAT Pneumatic Slit Valve 07512-UA24-0002 new

AE Sekidenko OR4000T Optical Fiber Thermometer working

LAM Research Alliance Wafer Transfer Arm, Single New

LAM Alliance Wafer Transfer Arm w/ End Effector working

LAM Research RF Coil With Plate 853-330583-80 Working

LAM Ceramic Ring New

LAM Research Asyst Ergo Loader 020762 New

AE MDX-20K Master Power Supply 3152223-003Y working

bbb 90S Fixture Parts Lot A W/ 24-45451-01 And More

Hitachi 200mm Electrode Cover 2-833119-90 new

Verteq Transducer Transbbbber Set 1078234.5.1 working

Verteq Transbbbber Transducer Set 1078234.5.1 working

NovaScan 840 Nova Controller 210-48000-01 working

Nova Controller NovaScan 420 working

Hitachi Inner Chamber Cover, UHF, 1-829191-01 New

AE Advanced Energy MDX-L6 Magnetron 3152343-000A

Electroglas Horizon 4085X Display Control Module DCM3

CTI-Cryogenics On-Board P300 Cryopump 8116250G002

CTI-Cryogenics On-Board P300 Cryopump 8116250G002

CTI-Cryogenics On-Board P300 Cryopump 8116250G001

CTI-Cryogenics On-Board P300 Cryopump 8116250G001

CTI-Cryogenics On-Board P300 Cryopump 8116250G001

CTI-Cryogenics On-Board P300 Cryopump 0190-27355

THK Assembly, Z-Slide Slim Cell, SC ECP 0190-138170

CTI-Cryogenics On-Board P300 Cryopump 8116250G002

CTI-Cryogenics On-Board P300 Cryopump 8116250G001

CTI-Cryogenics On-Board P300 Cryopump 8116250G001

CTI-Cryogenics On-Board P300 Cryopump 0190-12086

Pacific Scientific Servo Controller SC755A040-08 bbb 90

Pacific Scientific Servo Controller 121-236 bbb 90S

LAM Research Wafer Lifter 853-051252R001 Refurbished

LAM Research 185mm Aperture Ring 716-072165-002 New

Hitachi M-308ATE Taitec Heat Exchanger CH-400AHS-M0

AMAT XR80 Implanter Chamber Vacuum Cont 9090-00479ITL

AMAT XR80 Implanter Chamber Vacuum Cont 9090-00654ITL

AMAT XR80 Implanter Monitor Interface 9090-00661ITL

AE Remote Plasma Source Gen. 3151801-003A 0190-08638

AMAT XR80 Wafer Arm Control Module 0090-91419 working

CTI-Cryogenics On-Board P300 Cryopump 0190-12085

Tokyo Electron Limited Upper Y(Hand) Electrode New

AE MDX-L6 DC Power Supply 3152343-000A 0190-76190

AE MDX-L6 DC Power Supply 2280-000D 0190-70085

AE MDX-L6 DC Power Supply 3152317-000A 0190-76006

LAM 4420 Etcher Ergo Loader Left and Right Pair Working

LAM 4420 Etcher Hine Design Elevator Pair Working

Asyst Load Port 300FL, S2.1HAMA/E-84 9700-6149-01

Anelva Motor Control Unit A A12-05110 working

Anelva Sputter Motor Control Unit-B A12-03638 working

Lam Drive Arm Assy. 853-012123-001-H-230S working

Lam Drive Arm Assy. 12123-1-C-01441057 working

CTI-Cryogenics On-Board P300 Cryopump 8116250G002

MECS Corp. Wafer Prealigner AL100 untested

MECS Corp. Prealigner AL100 untested

Lam Research Ceramic Ring 716-21278-1 New

Lam Research Ergo Loa 4, SS 380877702

Lam Indxr/Pod Pres Status Kit 846-222261-001 New

Lam Ring, G-INJ 8x. 76mm Jets, CER 716-072305-002 New

Lam Research RF Power Amplifier LP-2000-400K Working

Lam Research Ceramic Ring 716-028033-1

AE Advanced Energy MDX-20K Master 3152223-003-Y 20kW

bbb Pacific Scientific Spindle Controller SC755A040-08

bbb Pacific Scientific Spindle Controller SC755A040-08

bbb Dev. Spindle Cont. CB41 With Pacific Sci. 121-142F

CTI Cryogenics On-Board P300 Cryopump 8116250G002

Varian TV 301 Vacuum Turbopump 7698918 need rebuild

CTI-Cryogenics On-Board 8F Cryopump w/ACM 8116081G006

National Instruments Relay Module SCXI-1001 SCXI-1163R

ACS Tech80 Motion Controller SB14SYS working

CTI-Cryogenics On-Board P300 Cryopump 0190-12085

Brooks Cryotiger Compressor T1101-01-000-04 0190-A8780

CTI-Cryogenics On-Board 8F w/ACM Cryopump 8116081G006

Price Pump Endura Vertical Pump CD100VSS-494 new

Nikon Scanner RPF 6.5% 4G680-389AN new

HP Agilent V1300 Pin Electronics Board PCB E7085-66556
Working board. Xilinx Spartan XCS30, I-Cube chips

AMAT SBC Computer Board Dual 1 GHz 0660-A1250 working

KLA-Tencor AIT 2 Timing Generator Board 0053946-000

KLA-Tencor AIT 2 MMD Analog Board 565040 working

KLA-Tencor AIT 2 MMD Analog Board 565040 working

Edwards Dry Vacuum Pump iQDP80 Untested, AS-IS

Granville-Phillips 307 Vacuum Gauge Controller working

CTI-Cryogenics On-Board 8F Cryopump 8116081G006

Granville-Phillips 307 Vacuum Gauge Controller working

Varian E1000 RDAC Sub Assy. 101866004 working

Varian E1000 RDAC Sub Assembly 101866004 working

Tektronix Arbitrary Wavebbbb Generator VX4790A working

AMAT SIP, TA 300mm refurbished kit

AMAT Centura Inner Shield 300mm 0020-52600 new

Applied Ceramics Eclipse Cylinder 102054681 new

ASML Cymer BXP Lens 4022.456.0076.2 new

ASML Twinscan 1100 Lens 4022.456.0075.2 new

KLA-Tencor SLX RF Bench Assy. 740-336864-001 working

KLA-Tencor 301 I-Line RF Bench 740-323100-002 working

Hitachi M-308ATE Taitec Heat Exchanger CH-400AHS-M0

Varian E1000 Power Box Assy. E11038270 working

Ham-Let Valve HMS30 4VKC RND Lot of 28 3870-05020 New

Inficon Transpector XPR2 RGA untested XPRTK100

Kokusai Vertron Cassette Loader Panel CX1209 working

bbb Tokyo Electron Oven Exhaust Flange 7310-9290-02 new

CTI Cryogenics On-Board P300 Cryopump 8116250G002

bbb Purge Inlet Steam System 7310-8987-02 new

Tokyo Electron ES Plate RF P1C DRM2 PN 1D10-100938-12

Nova NovaScan 420 Controller 210-48000-01 working

Nova NovaScan 420 Controller 210-48000-00 working

Acopian DC 3 Power Supply S12277-1 0190-14398 New

AMAT Cartesian Robot Z Axis Front Mast Bars 0190-27401

AMAT XR80 Implanter Control Interface 9090-00101ITL

AMAT XR80 Implanter Vacuum Controller 0090-91927ITL

AMAT XR80 Implanter Cryopump Controller 9090-00246ITL

bbb 90S Communications PCB Set With Backplane

BioRad Quaestor Q7 200mm Y Z Stage

Nikon Optistation 3 Microscope Turret With bbbbbbives

Lam Research Gap Assembly 4520/4520i

OZONATOR OR-4ZA OZONEREX OZONIZER NEW Applied Material

KLA-Tencor 710-680976-002 EMC WIB2 Board New

KLA-Tencor AIT 2 AOD GPIO Assy. 0017499-000 working

KLA-Tencor TMC STACIS 2000 Active Vibration Control

KLA-Tencor AIT2 Heat Exhaust Blower 570-0443

AE PE-1000 RF Generator 3157501-000D working

Edwards Multi Stage Dry Vacuum Pump DP 40 working

Seiko Seiki Turbopump Controller STP-H1301L1 working

Seiko Seiki STP-H1301L1B Turbopump Controller working

bbb ACT 8 Oven I/O Board TKB2121 CT2986-440809-13

KLA-Tencor Cobra Shipping Filter Assy 0107339-000 Used

SMC Thermo Chiller INR-496-003C not working

Sanyo Denki AC Servomotor P60B18750RXS00M working

CTI-Cryogenics On-Board P300 Cryopump 8116250G002

Edwards iQDP40 Dry Vacuum Pump working

CTI-Cryogenics On-Board P300 Cryopump 0190-12086

Inficon Preclude TSPTW100 RGA Gas Analyzer untested

Siemens MP277 Multi Panel 10" 6AV6 643-0CD01-1AX1 new

Inficon Transpector Gas Analyzer TSP TH100 untested

Arista Panel Interface Computer ARP-2610AP new 667MHz

Edwards iQDP80 Dry Vacuum Pump needs rebuild

Nor-Cal Inbbblisys Pendulum Valve 3870-05190 working

Kensington Robot Controller 25-4021-0015-01 working

KLA-Tencor 395005 Tropel 14X CYL bbbescope-1 AIT2 New

KLA-Tencor AIT UV COLL Side Polarizer 0024476-001 New

KLA-Tencor Retrofit Optics Beamsplitter 0099602-001 New

InUSA Afx Ozone Analyzer HAMAT-4 working

bbb Tokyo Electron CVD Chamber 300RM 3M10-101377-13 new

KLA-Tencor SLX bbbbbbive Lens 760-334096-000 Untested

Shimadzu Vacuum Turbopump TMP-203LM

bbb P-8 Wafer Prober Loader Rack 3281-000086-13 working

Lincoln Electric Invertec V270-T Pulse Welder working

Kensington Robot Controller 25-4021-0015-00 working

ENI Polara-260A Bipolar Pulsed Supply New 0190-01428

Waypoint Technologies Wafer Transfer WTS-200 working

Anatech Carbon Debbbbbbbb System CDS 2.2 untested

Nikon NSR S202 Scanner 200mm Indexer working

KLA-Tencor Lower Octopole/Wein Lens 780-14108-000

KLA-Tencor Lower Octopole/Wein Lens 780-14108-000

Yaskawa Linear Motor Controller CLSR-18-N2CC Nikon NSR

Yaskawa Linear Motor Controller CLSR-A504-N2SB Nikon

KLA-Tencor Cannon Box Magazine Assembly 740-060658-001

Perkin Elmer PCBs QTY 30 Model 300

AE Astech Matching Network ATL-100RA 3150086-003 1SE

Nikon Optistation MCR-ISA PCB 2S014-065 New

Nikon Metro MCR-ISA PCB KAB11000/3301

AE MDX-5K Master DC Power Supply 3152011-035X working

AE MDX-5K Master DC Power Supply 3152011-035H working

AE MDX-5K DC Power Supply 3152011-035F working

ENI Polara-260A Bipolar Pulsed Supply New 0190-01428

AMAT XR80 Implanter Monitor Interface 9090-00279ITL

Hitachi 300mm Quartz Sleeve 3-852688-01 New

Lam Research Electronic Box 2000 852-110198-001 New

Nikon Optistation OST-3\\3A LED-AF Microscope Head 81027

Lam Research Ring, ISO Horn Bot Oversize 716-071961-002

AMAT Endura Insulation Plate 0200-20378 new

Nikon RFP 3.5 Concave 4G680-525AN new

Met One Laser Particle Counter 205-1-115-1 & Filter

AE Advanced Energy MDX-5K Power Supply 2011-035-Y 5kW

Siemens Closed Loop Controller 6ES5 262-8MA12 working

AMAT XR80 Implanter Power Supply 9090-00045ITL

Sony KLA-Tencor AIT 1 PowerHAD Camera DXC-950 CMA-D2

AMAT HiTek Hi Volt Power Supply A1023050 9090-00397ITL

VAT Slit Valve 02112-AE24-AAJ1/0093 Working

AMAT XR80 Implanter Controller 9090-00098ITL working

AMAT XR80 Implanter Controller 9090-00386ITL working

AMAT XR80 Implanter Controller 0090-91404ITL working

bbb 90S X18 Xenon Lamp Assembly 500045692 Working

VAT Pneumatic Gate Valve 10846-XE28-ANL1 working

Edwards Vacuum Pump Power Box QDP80 QMB250 U20000254

Mitsubishi Turbopump Controller FT-2300M-D2-005A

Mitsubishi Turbopump Controller FT-2300M-D2-004A

AE MDX-10K DC Power Supply Slave 3152012-043Z working

AE MDX-10K DC Power Supply Slave 3152012-043X working

bbb 90S Fixture Parts Lot B W/ 23-42973-01 And More

bbb 90S Fixture Parts Lot D W/ 99-44962-01 And More

AE MDX-10K Slave DC Power Supply 3152012-043P working

Hitachi ER Cover, UHF, 2-832793-01 New

Pfeiffer Vacuum Pump Power Supply Kit TPS 201 new

LAM Research Ceramic Ring 716-28033-1 Cleaned

AMAT XR80 Power Distribution Unit 9090-00511ITL

AMAT XR80 Power Distribution Unit 9090-00194ITL

AMAT XR80 Implanter Spin/Scan Control 9090-00236ITL

AMAT XR80 Implanter Spin/Scan Control 9090-00726ITL

Pfeiffer Turbopump Power Supply Kit TPS301 new

Millipore Pump Controller ENCOM1CN0 working

Hitachi ZPS90 Chamber Block 1-824631 New

Sony 3CCD Video Camera DXC-9000 & Adaptor CMA-D2

Lam Research 150mm Aperture 716-071555-002 New

Lam Research Pneumatic Cylinder 718-094075-002 New

Aerotech Linear Z-Axis Stage ES13693-30 new 0190-A0054

ENI OEM-650A XL RF Generator 650W OEM-6A-02 working

ENI OEM-650A XL RF Generator 650W OEM-6A working

Luxtron 100C M-100 Temperature Control 0190-35236

Nikon Scanner RPF 9.5% Concave 4G680-334BN new

Clamshell Drive 028147890-00 R028147890-00 Amat used

Nikon NSR Series RTEXX3 PCB 4S018-650

ASML A1306 Stage Decoupler PCB 859-0929-006 working

AMAT XR80 Implanter Gas & Temp Motherbd Set 0100-00980

AE PDX 900-2V RF Generator 3156024-132A working

AE PDX 900-2V RF Gen. 3156024-132C working 0190-08677

AMAT Centura Shutter Disk 0021-17725 clean

AE PDX 900-2V RF Generator 900W 3156024-133A working

ENI OEM-650A RF Generator 650W working

AMAT XR80 Implant Spin Relay Controller 0090-93095ITL

BioRad Quaestor Q7 Xenon Lamp Assembly X18

BioRad Quaestor Q7 DC Power Supply TRK2/5 +5V +12V -12V

ENI OEM-6B3 RF Generator 3 Phase 650W 13.56MHz

CTI-Cryogenics 8011 Control Module 8052301G001 working

HiTek Power DC Supply A1023050 working

KLA-Tencor AIT APS Tower/Center Block 0071149-001 Used

PRI Robot Disconnect Control Station 8100

Enlarge
VAT Slit Valve MONOVAT 0210X-BA24-AXN1 new 3020-A0230

VAT MONOVAT Slit Valve 0210X-BA24-AXN1 new

VAT MONOVAT Slit Valve 0340X-CA24-BMU1 new 0190-26698

Leybold Trivac Vane Vacuum Pump D25BCS working

Leybold Trivac Vane Vacuum Pump D25BCS working

Kensington 25-4021-0015-00 Robot Controller 0190-23563

KLA-Tencor AIT3XP APS NIC Tower 0034693-001 New

AE PDX 900-2V RF Generator 3156024-132C 0190-08677

KLA-Tencor Lower Octopole/Wein Lens 780-14108-000 Used

KLA-Tencor Lower Octopole/Wein Lens 780-14108-000 Used

Integrated Dynamics Engineering TC 800043-001

Tokyo Electron bbb RM10 Adapter Assembly D129961-17

Rorze Robot Linear Track and Controller RT107-1201-002

Leybold Vacuum Turbopump Turbovac 340MC Not Working

AMAT XR80 Implant Gap Servo Controller 0090-91251ITL

Lam Research Vat Gate Valve 17244-UE14-AAC1 New

ASML Screen Module for MicroScan2 859-0481-007 lot

Leybold Vacuum Turbopump Turbovac 340MC not working

AMAT Quartz Bell Jar ZCoat 0040-13509 new

AMAT Controller Distribution Board 0100-00523 Working

KLA-Tencor AIT 2 GPIO Board 386065 working

SRC Computer Module S309163 Diaf*cku VME-5620, OPC-5600B

Comet Vac. Capacitor MC1C 210 E/1505 Lot of 13 Working

bbb Large Upper Shield WZ10-103558-11 X1 Sealed

AMAT XR80 Implanter HiTek Power Supply 1140-00161

Novellus Digital Dynamics SIOC Controller 02-258471-00

KLA-Tencor Lid Lift Leg Assy. 781-11759-000 working

AMAT XR80 Implant Gap Servo Controller 9090-00097ITL

Alcabbb IPUP A100L Dry Vacuum Pump 3620-00404

Leybold Tubovac Vacuum Turbopump 340MC need rebuild

Nikon Optistation TCCNT PCB 2S014-035-5 Working

Nikon Optistation SCNT PCB S2014-034-1 Working

AMAT Edwards Active Gauge Controller 0090-91685ITL

LAM ZiaTech Power Dist. PCB ZT 5510E-C7R5S1V3 00 New

Leybold Heraeus Thermovac TM 21/22 System Controller

VAT MONOVAT Rectangular Dual Slit Valve 0210X-CA24

VAT MONOVAT Rectnglr Dual Slit Valve 314114 3870-04848

Luxtron Xinix 1014 Endpoint Controller

Varian TV 70 Vacuum Turbopump 969-9368S004 working

Lam West Coast Quartz Bell Jar, EPIC 20+ 716-072008-001

Spectra-Physics 2580 Laser Power Supply 2580C working

NanoMetrics Interim Supply Box 7200-2696 0190-08849

AMAT 200mm Cover Ring AL 0020-24719 new

Tosoh AMAT Endura Lower Shield 805-435-SG new

Tosoh AMAT Endura Inner Shield 805-381-SA new

AMAT XR80 Implant CTI Cryopump Controller 0090-91937ITL

Glentek Amplifier Controller SMA8310-1 KLA 003964-000

KLA-Tencor CIP XP Column Extension Assy. 0110157-000

CTI-Cryogenics Cryo-Torr 7 Cryopump untested

Kokusai Vertron Linear Track V-III-A working

Seiko Seiki Turbopump Controller STP-301 SCU-301 new

bbb Tokyo Electron SS Relay Assembly A129350 new

Edwards Active Gauge Controller 0090-91685 ITL working

Donaldson Carbon Filter System P197210 Nikon NSR-S202

Nikon Optistation CASS/CASS PCB 2S014-036-4 Working

KLA-Tencor 486i Controller 0020839 Rev.AA working

Nikon NCOLDCPS Power Supply Assy. 560-5501 working

AMAT Side RF Match 0010-23685 Rev.003 not working

AMAT RF Match 0010-23685 Rev.003 not working

AE RF Top Match 0010-08322 Rev.009 not working

AMAT RF Top Match 0010-08322 Rev.002 not working

AMAT RF Top Match 0010-08322 Rev.003 not working

SanKen bbb P-8 Prober Power Supply PS-200S working

AMAT Quantum Leap III Power Distribution Box 9090-00482

Daihen RF Match 2 13.56MHz RMN-50N4 untested 0190-19174

ENI GHW-12 GHW-12Z 1250W RF Generator 0190-06988

ENI OEM-12A RF Generator 1250W 0190-76048 not working

ENI DCG-200Z DC Power Supply Slave 0190-07207 untested

ENI DCG-200Z DC Power Supply Slave 0190-07965 untested

Screen Rinse Tank Module 630300320 working DS-1211

Pfeiffer Vacuum Turbopump TPU 330 untested

Pre-Tech High Frequency Generator PT-16M20 working

AMAT XR80 Controller 0090-91694ITL working

bbb Tokyo Electron P-8 Bridge Standard 8201-001132

bbb P-8 Loader Rack With 3281-000086-14 Mother PCB

Ebara Vacuum Turbopump ET300W working

VAT Pneumatic Gate Valve 95240-PAAO-ABG1

Equipe PRI Prealigner PRE-300-B-CE untested

IAI X-SEL Controller Lot XSEL-P-3-200IB-200IB-200IB

Inficon Preclude Photoresist Detector TSPTW100 untested

Kawasaki Prealigner NS001S-L002 untested 0190-07261

Yaskawa Servo Controller bbb Unity 2 BC930310 working

Verteq Transducer Transbbbber Set 1078234.5.1C working

Hitachi Electrode Head Cover, 2-829526-03 New

bbb Tokyo Precision Prototypes Control Board PCB 3M1

AMAT Implanter Accel Control Panel AXDRC/21D

Electroglas Horizon 4085X Power Supply Module PSM-C

Edwards Vacuum Pump Controller QDP80 QMB250 Q80.4.2.2

CTI-Cryogenics On-Board P300 Cryopump 8116250G001

AE Ovation 35162 RF Generator 3150861-002B 0190-27049

Leybold Vacuum Turbopump Turbovac 340 M 3620-00338

AE VHF 2060 RF Generator 3150852-005A 2kW 0920-00055

Leybold Vacuum Turbopump Turbovac 340 M 3620-00338

Berkeley Process Control Prealigner 100-000-871-02

Cutler Hammer Circuit Breaker LGE3630NN New 600V 600A

Granville-Phillips 307 Vacuum Gauge Controller Degas

Drytek RF Generator Power Source 2600413 untested

Metron 8500R REAC HD Assy. 215-17799-00 working

ENI DCG-200Z DCG-200 DC Plasma Slave 20kW untested

LAM Research Tylan Micro CVD Control PCB 118730-001 New

Kyosan DC Power Supply HPK10ZI-TE1 untested

MRC bbb Eclipse Remote Console A120024 working

AMAT XR80 Implanter Diagnostic Unit 9090-00303ITL

Aquafine UV Sterilizer CSL-24R TOC working

Hitachi Lower Sleeve, UHF, 2-829605-02 New

Aquafine UV Sterilizer CSL-6R 60GPM working

Rorze Automation Robot Linear Track RT107-1201-002

Hitachi M-308ATE Computer Controller 710-S00242A

Speedring Scanner Assembly SS-1131-100

Lam Research Alumina Filler Ring 716-011036-001

KLA-Tencor CRS2000 Olympus Microscope Turret BH3-5NRE-M

KLA-Tencor High Voltage Control Module 52-1081

ASML Gas Supply Module 4022.486.23731 working

ASML Robot Gripper Manifold 4022.486.21591 working

ASML Hitachi 2M130 Magnetron Guide WUG-022K13 working

Lam Research SiC-CTD Graphite Liner #2 716-051923-002

Lam Shield, Horn O2 Introduction 716-072016-001 New

AMAT XR80 Implanter Magnet Controller 9090-00029ITL

AMAT XR80 Implanter Magnet Controller 0090-91657ITL

Lam Vat Gate Valve F12-60436/488 12044-PA44-0003 New

Lam Research Daihen RF Tuner DAUMA-10SA Working

Astech Automatic RF Match Network ATL-100 untested

Daihen 1500W Microwave Power Supply ATP-15B working

AE ASM LDM Plasma DC Power Supply 3152026-000D untested

AE LF-5 RF Generator 500W 3150012-009 working

AMAT 5000 Clean Room 8" Robot 0010-13321 untested

AMAT Endura 300mm Robot Motor Controller Rack new
Inventory reduction sale

Oramir Elmo 200mm Wafer Stage and Driver Assy. working

bbb 90S Nikon Interface Tool Module 9062

AMAT Applied Materials Coated Reflector AQ-12

National Instruments Relay Module SCXI-1001 SCXI-1162HV

ENI DCG-200 DC Plasma Gen. Slave DCG-200E 0190-22958

Nor-Cal Inbbblisys Pendulum Valve 3870-04611 working

Nemic-Lambda DC Power Supply YM-01-557A working

Leybold Turbopump Controller Turbotronik NT 340 M new

Yaskawa AC Servo Gearmotor SH07SB-GA75AC 1080-01297

Granville-Phillips 352 Gauge Controller lot working

bbb Coater Spindle Cont. CB40 With Pacific Sci. SCI150

Nikon Optistation Cass. PCB 2S700-583-1 working

Nikon NSR Series SR8-XY2 PCB 4S007-865-C A-552

ASQ 200mm Wafer Transfer Machine AT2S8-25 working

V-Tex Rollcam Slit Vlv 200110-35-6040-01 new 3870-00112

Altacel IPUP A100L Pump Control Module 107803 working

Nor-Cal Pneumatic Pendulum Valve 030923-1 working

SanKen bbb P8 Wafer Prober Power Supply PS-200S working

Alcabbb ADP 31 Dry Vacuum Pump need rebuild

Advance Hivolt 10kV Series 1000 A1018860 1140-90179

Foxboro Miran 1BX Ambient Air Analyzer untested

KLA-Tencor AIT 2 PSF Driver Board 556661 working

KLA-Tencor AIT 2 4-Channel Motor Control Board 363251

KLA-Tencor AIT 2 4-Channel Motor Control 261408 working

KLA-Tencor AIT 2 U500 Control Board 690D1470 working

CTI Cryogenics On-Board P300 Cryopump 8116250G002

SMC Thermo-Con Power Supply INR-244-216V working

Neslab HX-150 Recirculating Chiller not working

Hitachi S-9300 SEM Stage Control Unit 32-01

ICS Advent Industrial Computer 7315-A3-01

Nikon NSR Power Supply 4K177-955-2 working

AMAT Endura 300mm Lower Shield 0021-16287 refurbished

Nikon S204 300mm Ceramic Chuck refurb

Nikon Condenser Glass Cover 4L991-201AN new

AMAT Centura 300mm Lower Shield 0020-13067 refurbished

SMC bbb ACT 8 Power Supply INR-244-217B working

SMC Thermo-Con bbb ACT 8 Power Supply INR-244-216U

Pearl Kogyo RP-300-2MX-C RF Generator System 300W 2MHz

SMC Thermo-Con Water-Cooled Heater XT244-391

4 Kalrez K# 274 O-Ring 9.984 x .139 4079 3700-02829 New

AMAT O-Ring 10.178 x .112 Si 3700-02952 Lot of 26 New

Brooks Robot WTM-511-2-FWS02 not working 0190-05055

Leybold Turbopump Turbovac 340 M not working

Brooks PRI Robot WTM-511-2-FWS02-V1-CU 0190-08246

Varian Multivac Ion Pump Controller Lot not working

Keithley 3930A Multifunction Synthesizer working

KLA-Tencor Quantox High Voltage Control Module 52-1081

Varian E1000 ISPM Closed Loop Module E11057020 working

AMAT E84 Controller 9090-00042 ITL working

AMAT E84 Interface Controller 9240-00519 working

AMAT Wafer Handling Interface Module 9090-00312 working

AMI Power Supply P-1219 untested 0190-01606

AMAT XR80 Implanter 3X5B Diagnostic Unit 9090-00685ITL

Hitachi S-9300 Ion Pump Power Supply 15444111-12

KLA-Tencor 8100 200mm XY Stage 780-10480-001 working

KLA Coherent Innova Laser Power Supply I-Line-PS-KLA
KLA-Tencor 740-324482-000

Coherent Innova Laser Power Supply I-Line-PS-KLA
KLA-Tencor 750-321963-002 working

Ebara Turbomolecular Turbopump Controller Model 305W

bbb ACT 8 Motor I/O Board TKB3100 AP93-0318C-12 working

VAT Pneumatic Gate Valve 10846-XE24-AHA1 working

CTI-Cryogenics On-Board 8F Cryopump 8116237G002

Equipe PRI 300mm Prealigner PRE-300B-CE not working

bbb Tokyo Electron Weldment Short Pod Door A127669 new

Tokyo electron ES Shield Depo 85-WR DRM2 1D10-311227-W1

Tokyo Electron ES Cover Bellows A DRM2 1D10-200734-W1

Tokyo Electron ES Plate Baffle 0-15 DRM2 1D10-101475-21

Cybor Resist Pump Controller 512F & Power Supply 506A

Verteq Amplifier ST800-CC50-MC2Px working 3156023-000D

Varian Turbo-V 250 TMP Controller EX9699523 working

PCT Systems Generator 6000 E/E AMAT working

Ebara 305W Turbopump Controller PWM-20M working

bbb Upper P-1 Electrode 1D10-202204-12 new

Neslab Recirculating CoolFlow Chiller HX-150 0010-00570

AMAT XR80 Implanter Vacuum Interface 9090-00102ITL

AMAT XR80 Implanter Magnet Controller 9090-00086ITL

KLA-Tencor CRS1010 Camera Lens Filter 002001

KLA-Tencor CRS1010 Lens Filter Assy. 000056 working

AMAT XR80 Implanter Vacuum Interface 0090-91621ITL

bbb 90S End Station CPU Interface Unit

AE MDX Delta DC Power Supply 3152194-013R not working

AE RFPP Advanced Energy LF-5 500W Generator 3150012-009
Tested working AMAT 0920-01014

AE Apex 3000/13 RF Generator 3kW 13.56MHz not working

Edwards Heat Exchanger HTU-108 not working 0190-04379

Edwards HTU-108 Heat Exchanger not working

Olympus KLA-Tencor AIT Microscope Turret 0034223-000

CTI-Cryogenics On-Board Control Module

Edwards HTU-108D Heat Exchanger not working

Olympus KLA-Tencor AIT Microscope Turret 529737

Nikon 4K177-955-1 NSR S202 Transfer Robot Untested

Nikon NSR S202 Transfer Robot Untested

KLA-Tencor 710-663106-001 Dual Stepper Driver 2 New

KLA-Tencor EDrawer Hardware RETR Kit 5.2 STD 2139

KLA-Tencor 2139 Flipper Autoloader Kit 780-689642-000

Matsusada HJPZ-1B-HS-V2 High Voltage Power Supply

Hitachi I900SRT BA-H500 H2 DC Power Supply Working

Hitachi I900SRT Wafer Transfer Robot Working

Hitachi I900SRT Lens Assembly Working

Hitachi I900SRT Lens Filter Assembly Working

Yaskawa bbb Unity 2 Servo Controller BC930310 working

KLA-Tencor AIT2 Power Assembly LPM 390500

Varian Turbo-V 250 TMP Controller 9699523S021 working

AMAT SBS Tech. FIC 3 Port Cont CP3308S000297 0010-07093

Fuji Electric 20kVA Transbbbber JEC-2200-1995 working

Varian Turbo-V 700HT Turbopump Controller 9699545

AMAT XR80 Beamline Vacuum Control 0090-91965 ITL

Yokogawa bbb ACT 12 DD Servo Actuator SR1200A02

bbb ACT 8 12 Chemical I/O Board CPC-T0001A-13

bbb 90S PacificScientific Servo Controller SC753A040-08

bbb 90S Pacific Scientific Controller 121-235 Rev C

KLA-Tencor CRS1010 Camera Filter Assy. 000056 working

Tokyo Electron ACT 8 12 Chemical I/O PCB CPC-T0001A-13

KLA-Tencor CRS1010 Lens Filter Assy. 002001 working

AMAT Applied Materials 0190-81043_AMAT Applied Materials 0190-81043价格_AMAT Applied Materials 0190-81043厂家_工控 (2024)

References

Top Articles
Latest Posts
Article information

Author: Fr. Dewey Fisher

Last Updated:

Views: 6090

Rating: 4.1 / 5 (62 voted)

Reviews: 85% of readers found this page helpful

Author information

Name: Fr. Dewey Fisher

Birthday: 1993-03-26

Address: 917 Hyun Views, Rogahnmouth, KY 91013-8827

Phone: +5938540192553

Job: Administration Developer

Hobby: Embroidery, Horseback riding, Juggling, Urban exploration, Skiing, Cycling, Handball

Introduction: My name is Fr. Dewey Fisher, I am a powerful, open, faithful, combative, spotless, faithful, fair person who loves writing and wants to share my knowledge and understanding with you.